搜档网
当前位置:搜档网 › 8位模型机课程设计

8位模型机课程设计

8位模型机课程设计
8位模型机课程设计

xxxxx

课程设计报告学院机电信息学院

课程课程设计

专业计算机科学与技术班级xxxxx

姓名xxxxxxx x

学号xxxxxxxxxx

指导教师xxxxxx

日期201x年x月x日

目录

1.概述 0

2.总体设计 0

3.详细设计 (2)

3.1 运算器 (2)

3.2 存储器 (3)

3.3 微控制器 (5)

3.4 基本模型机设计与实现 (8)

4. 总结 (10)

参考文献 (11)

8位模型机的设计与仿真

1.概述

在掌握部件单元电路设计与仿真的基础上,进一步将其组成系统构造一台8位模型机。字长是8位纯整型,包含基本的五大件:运算器、存储器、控制器、I/O设备。它的结构框图如下图1-1所示.

这基本的五大件通过数据总线连接,实现数据的处理和控制。

部件实验过程中,各部件单元的控制信号是人为模拟产生的,而综合实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。

2.总体设计

模型机主要由运算器、控制器、存储器、数据总线、输入输出和时序产生器组成,模型机的结构图如图2-1所示。

图2-1 模型机结构图

在图2-1中T1、T2、T3和T4等控制信号都是由时序产生器生产,时序产生器由时序电路实现如图2-2所示,时序产生器一个周期中产生四个脉冲信号T1~T4,这四个脉冲信号用于控制组件的执行顺序,组件在这些信号的控制下有序的执行,一个周期中完成一条微指令的执行。

图2-2 时序产生器

模型机的工作过程可以归纳如下:

(1)控制器把PC中的指令地址送往地址寄存器AR,并发出读命令。存储器按给定的地址读出指令,经由存储器数据寄存器MDR送往控制器,保存在指令寄存器IR中。

(2)指令译码器ID对指令寄存器IR中的指令进行译码,分析指令的操作性质,并由控制电路向存储器、运算器等有关部件发出指令所需要的微命令。

(3) 当需要由存储器向运算器提供数据时,控制器根据指令的地址部分,形成数据所在的存储单元地址,并送往地址寄存器AR,然后向存储器发出读命令,从存储器中读出的数据经由存储器数据寄存器MDR送往运算器。

(4) 当需要由运算器向存储器写入数据时,控制器根据指令的地址部分,形成数据所在的存储单元地址,并送往存储器地址寄存器AR,再将欲写的数据存入存储器数据寄存器MDR,最后向存储器发出写命令,MDR中的数据即被写入由MAR指示地址的存储单元中。

(5) 一条指令执行完毕后,控制器就要接着执行下一条指令。为了把下一条指令从存储器中取出,通常控制器把PC的内容加上一个数值,形成下一条指令的地址,但在遇到“转移”指令时,控制器则把“转移地址”送入PC。

控制器不断重复上述过程的(1)到(5),每重复一次,就执行了一条指令,直到整个程序执行完毕。

3.详细设计

3.1 运算器

运算器(arithmetic unit)是计算机中执行各种算术和逻辑运算操作的部件。运算器的基本操作包括加、减、乘、除四则运算,与、或、非、异或等逻辑操作,以及移位、比较和传送等操作,亦称算术逻辑部件(ALU)。计算机运行时,运算器的操作和操作种类由控制器决定。运算器处理的数据来自存储器;处理后的结果数据通常送回存储器,或暂时寄存在运算器中。

本次8位模型机实验中,运算器是由两片74LS181芯片构成。74LS181是一个四位的ALU单元,它是由一个四位全加器以及进位电路构成。正逻辑74LS181的逻辑图3-1所示.

图3-1运算器原理图

74LS181运算功能发生器能进行16种算术运算和逻辑运算。功能表如下:

设计步骤:

(1) 按图3-2连接实验电路,并检查无误。图中将用户需要连接的信号用圆圈标明(其它实验相同)。

图3-2 实验接线图

(2) 将时序与操作台单元的开关KK2置为‘单拍’档,开关KK1、KK3置为‘运行’档。 (3) 打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。然后按动CON单元的CLR按钮,将运算器的A、B和FC、FZ清零。

(4) 用输入开关向暂存器A置数。

①拨动CON单元的SD27…SD20数据开关,形成二进制数01100101(或其它数值),数据显示亮为‘1’,灭为‘0’。

②置LDA=1,LDB=0,连续按动时序单元的ST按钮,产生一个T4上沿,则将二进制数01100101置入暂存器A中,暂存器A的值通过ALU单元的A7…A0八位LED灯显示。

(5) 用输入开关向暂存器B置数。

①拨动CON单元的SD27…

置入暂存器B中,暂存器B的值通过ALU单元的B7…B0八位LED灯显示。

(6) 改变运算器的功能设置,观察运算器的输出。置ALU_B=0、LDA=0、LDB=0,然后按表1-1-1置S3、S2、S1、S0和Cn的数值,并观察数据总线LED显示灯显示的结果。如置S3、S2、S1、S0为0010,运算器作逻辑与运算,置S3、S2、S1、S0为1001,运算器作加法运算。

如果实验箱和PC联机操作,则可通过软件中的数据通路图来观测实验结果(软件使用说明请看附录一),方法是:打开软件,选择联机软件的“【实验】—【运算器实验】”,打开运算器实验的数据通路图,如图3-3所示。进行上面的手动操作,每按动一次ST按钮,数据通路图会有数据的流动,反映当前运算器所做的操作,或在软件中选择“【调试】—【单节拍】”,其作用相当于将时序单元

的状态开关KK2置为‘单拍’档后按动了一次ST 按钮,数据通路图也会反映当前运算器所做的操作。

重复上述操作,然后改变A 、B 的值,验证FC 、FZ 的锁存功能。

图3-3 数据通路图

3.2 存储器

存储器的主要功能是存储程序和各种数据,并能在计算机运行过程中高速、自动地完成程序或数据的存取。存储器是具有”记忆”功能的设备,它采用具有两种稳定状态的物理器件来存储信息。这些器件也被称为记忆元件。在计算机中采用只有两个数码”0”和”1”的二进制来表示数据。

本次实验所采用的半导体静态存储器电路原理如图3-4所示。实验中的静态存储器由一片6116(2k*8)构成,其数据线接至数据总线,地址总线由地址锁存器(74LS273)给出,地址灯AD0`AD7与地址线相连,显示地址内容。数据开关经三态门(74LS245)连至数据总线,分时给出地址和数据。

图3-4 存储器电路原理图

因为地址寄存器为8位,接入6116的地址为A7~A0,而高三位A8~A10接地,所以其实际容量为256字节。6116有三个控制线:CE(片选线)、OE(读线)、CE(写线)。当片选线有效(CE=0)时,OE=0时进行读操作,WE=0时进行写操作,其写时间与T3脉冲宽度一致。

操作时将T3脉冲接至 实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可调,其他电平控制信号由“SWITCH UNIT ”单元的二进制开关模拟,其中SW-B 为低电平有效,LDAR 为高电平有效。

设计步骤:

l. 实验接线如下: ⑴ MBUS 连BUS2; ⑵ EXJ1连BUS3;

⑶ 跳线器J22的T3连TS3; ⑷ 跳线器J16的SP 连H23;

⑸ 跳线器SWB 、CE 、WE 、LDAR 拨在左边(手动位置)。 2.连接实验线路,仔细查线无误后接通电源。

形成时钟脉冲信号T3,方法如下:在时序电路模块中有两个二进制开关“运行控制”和“运行方式”。将“运行控制”开关置为“运行”状态、“运行方式”

验中“运行方式”开关置为“单步”状态,每按动一次“启动运行”开关,则T3输出一个正单脉冲,其脉冲宽度与连续方式相同。

3.

如果要对其它地址单元写入内容,方法同上,只是输入的地址和内容不同。

CE=1

SWB=0 LDAR=1 SWB=0

CE=0 WE=1

(4) 读出刚才写入00地址单元的内容,观察内容是否与写入的一致。具体操作步骤如下:

4.1)根据存储器的读写原理,填写表3-1。

2按照前面介绍的实验步骤向存储器地址为00H , 01H ,02H ,03H ,04H ,05H 的单元分别写入数据:55H ,33H ,44H ,66H ,08H ,F0H 。

3)写出读出存储器单元内容的操作过程并记录以下地址单元读出的内容。 表3-2 存储器单元内容读出记录

4)根据电路图分析向存储器置数和从存储器读数的工作原理。

飞易失性存储器,易失性存储器中的数据在关电后就不复存在,非易失性存储器的数据在关电后不会丢失。易失性存储器又可以分为动态存储器和静态存储器,动态存储器保存信息的时间只有2ms ,工作时需要不断更新,既不断刷新数据静态存储器只有不断电,信息是不会丢失的。

CE=1 SWB=0 SWB=1

3.3 微控制器

控制器(Control Unit)是整个CPU的指挥控制中心,由寄存器IR,程序计数器PC和操作控制器OC三个部件组成,对协调整个电脑有序工作极为重要。有两种由于设计方法不同因而结构也不同的控制器:硬布线控制器和微程序控制器。在本次课设中我们采用的是微程序控制器。

微控制器是将微型计算机的主要部分集成在一个芯片上的单芯片微型计算机。微控制器诞生于20世纪70年代中期,经过30多年的发展,其成本越来越低,而性能越来越强大,这使其应用已经无处不在,遍及各个领域。

微控制器的电路图如下图3-5.

图3-5 微控制器电路原理图

设计步骤:

(1)将全部微程序按微指令格式变成二进制代码,可得到表3-3的二进制代码表。

表3-3

(2)按图3-6连接实验线路,仔细查线无误后接通电源。

图3-6 微控制器实验接线图

(3)观察微程序控制器的工作原理:

1. 将微程序输入控制存储器中

①.将编程开关MJ20置为PROM(编程)状态。

②.将实验板上“STATE UNIT”中的“STEP”置为“STEP”,“STOP”置为“RUN”状态。

③.用二进制模拟开关uA0~~uA5输入当前微地址MA5——MA0。

④.在MK24~~MK1开关上置微指令代码,24位开关对应24位显示灯,开关量为“0”时灯亮,开关量为“l”时灯灭。

⑤.启动时序电路(按动启动按钮“START”),即将微代码写入到E2PROM 2816的相应地址对应的单元中。

⑥.重复③—⑥步骤,将表1的微指令代码写入E2PROM2816中。

2.校验

①.将编程开关MJ20设置为READ(校验)状态。

②.将实验板的“STEP”开关置为“STEP”状态,“STOP”开关置为“RUN”状态。

③.用二进制模拟开关uA0~~uA5置好微地址MA5——MA0。

④.按动“START”键,启动时序电路,读出微代码。观察显示灯MD24——MD1的状态(灯亮为“0”,灭为“l”),检查读出的微代码是否与写入的相同。如果

不同,则将开关置于PROM编程状态,重新输入微指令代码即可。

3. 单步运行

①.将编程开关MJ20置于“RUN(运行)”状态。

②.实验板的“STEP”及“STOP”开关保持原状。

③.操作CLR开关使CLR信号l→0→l,此时微地址寄存器MA5一MA0清零,从而确定本机的运行入口微地址为000000(二进制)。

④.按动“START”键,启动时序电路,则每按动一次启动键,读出一条微指令,此时实验台上的微地址显示灯和微命令显示灯将显示所读出的一条微指令。

4. 连续运行

①.将编程开关MJ20置为“RUN(运行)”状态。

②.将实验板的单步开关“STEP”置为“EXEC”状态。

③. 拨动CLR开关使CLR从l→0→l,此时微地址寄存器清“0”,从而给出取指微指令的入口地址为000000(二进制)。

④.按动“START”键,启动时序电路,则可连续读出微指令。

3.4 基本模型机设计与实现

在实验1到实验3中,各部件单元的控制信号是人为模拟产生的。而本次课设主要是设计模型机在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一段微程序。

本实验采用五条机器指令: IN(输入)、ADD(二进制加法)、STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下(前4位为操作码):助记符机器指令码说明

IN 0000 0000 “INPUT DEVICE”中的开关状态–>RO

ADD addr 0001 0000 ××××××××二进制加法 R0+[addr] –> R0

STA addr 0010 0000 ××××××××存数 RO –> [addr]

OUT addr 0011 0000 ××××××××输出 [addr] –>LED

JMP addr 0100 0000 ××××××××无条件转移 addr –>PC

其中IN 为单字长(8位)指令,其余为双字长指令,××××××××为addr 对应的二进制地址码。

图3-7 模型机数据通路图

根据模型机的数据通路图(如图3-7所示)和指令的要求定义微代码如下:

表1 微代码定义

制位译码出多位。P 字段中的P(1)~P(4)是四个测试字位。其功能是根据机器指令及相应微代码进行译码,使微程序转入相应的微地址入口,从而实现微程序的顺序、分支、循环运行。B 字段中的RS-B、RD-B、RI-B 分别为源寄存器选通信号、目的寄存器选通信号及变址寄存器选通信号,其功能是根据机器指令来进行三个工作寄存器R0、R1 及R2 的选通译码。A 字段中的LDRI 为从输入设备组件中读入数据使能控制信号。

系统涉及到的微程序流程如图3-4-2所示,这里“取指”是公用微指令,为了能确定不同机器指令有各自不同的微程序转向,我们在这里以指令寄存器的前

4位(IR7~IR4)作为测试条件,引入了P(1)指令测试字段,如此,对于五条机器指令,就可以有五路P(1)测试分支,对于每一指令分别予以微程序解释。由图3-4-2微程序流程图中可以看到,在执行机器指令IN的时候要执行三条微指令:01、02和10,每个微指令需要一个CPU周期来执行,所以执行一条机器指令IN需要三个CPU周期。

图3-8 微程序流程图

当全部微程序设计完毕后,应将每条微指令代码化.图3-6即为将如图3-8的微程序流程图按微指令格式转化而成的二进制微代码表。

设计步骤:

①单步运行程序

A.使编程开关处于“RUN”状态,STEP为“STEP”状态,STOP为“RUN”状态。

B.拨动总清开关CLR(0→1),微地址清零,PC计数器清零,程序首地址为00H。

C.单步运行一条微指令,每按动一次START键,即单步运行一条微指令。对照微程序流程图,观察微地址显示灯是否和流程一致。

D.当运行结束后,可检查存数单元(0B)中的结果是否和理论值一致。

②连续运行程序

A.使“STATE UNIT”中的STEP开关置于“EXEC”状态,STOP开关置为“RUN”状态。

B.拨动CLR开关,清微地址及PC计数器,按动START,系统连续运行程序,稍后将STOP拨至“STOP”时,系统停机。

C.停机后,可检查存数单元(0B)结果是否正确。

若联机运行程序时,进入DEBUG调试界面,总清开关CLR(0→1)清零后,程序首地址为00H,按相应功能键即可联机运行、调试程序。

内部寄存器选择电路:

赋给[1AH]

4.总结

通过这次的计算机组成原理的课程设计,我对计算机内部元件的工作原理有了进一步的了解与认识。许多在课堂上没有听懂,没有学会的知识在课程设计的过程中暴露无遗,不过积极的方面是通过课程设计,我把这些知识上的明显漏洞给补上了。由于对计算机各部件的组成和工作原理不是很理解。为了弄清楚这些问题,我又把课本拿出来重新学习相关的章节。虽然理论知识很重要,但是像我们这种工学类的课程,更重要的还是实践。理论联系实际,才能更好的掌握所学的知识。在机房上机的时候也遇到了一些问题,不过在请教了同学和老师之后,这些问题也都一个个迎刃而解。

这次的课程设计题目是基本模型机的设计与实现,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本模型计算机。以前都只是在使用计算机,对它内部的部件组成和构造不是特别的清楚,在课程设计的最初阶段,我没有急于进行具体的设计,而是先理清思路,明确自己要做的工作是什么,如何来实现基本模型机的相关功能。这些前期的准备工作虽然用去了我很多的时间,但是最后的结果证明,我这样的方法是正确的。课程设计就像是一个系统工程,内容多而复杂,必须先在整体上进行宏观的把握,然后再具体的进行实施。如果开始的方向就不对,那后面的改进就会很麻烦。

计算机组成原理更倾向于计算机底层部件的应用,而不像我们平时都在使用的那些界面友好交互性很强的软件,所以有些错误不是很容易发现,只能通过自己耐心的反复调试来解决。这中间也请教了同学和老师,他们对我的帮助都很大。

通过这次课程设计,我的收获很多。课程设计不仅巩固我的理论知识,将理论和实践结合起来,锻炼了我的动手能力,也让我认识到自己的不足之处,争取以后能克服这些缺点,更进一步提高自己各个方面的能力。

参考文献

[1]高国红.计算机组成原理.1版.西安:西北工业大学出版社,2010

[2]裘雪红,李伯成.计算机组成与系统结构.1版.西安:西安电子科技大学出版社,2012

[3]杨颂华.数字电子技术基础.2版.西安:西安电子科技大学出版社,2009

8位模型机课程设计

xxxxx 课程设计报告学院机电信息学院 课程课程设计 专业计算机科学与技术班级xxxxx 姓名xxxxxxx x 学号xxxxxxxxxx 指导教师xxxxxx 日期201x年x月x日

目录 1.概述 0 2.总体设计 0 3.详细设计 (2) 3.1 运算器 (2) 3.2 存储器 (3) 3.3 微控制器 (5) 3.4 基本模型机设计与实现 (8) 4. 总结 (10) 参考文献 (11)

8位模型机的设计与仿真 1.概述 在掌握部件单元电路设计与仿真的基础上,进一步将其组成系统构造一台8位模型机。字长是8位纯整型,包含基本的五大件:运算器、存储器、控制器、I/O设备。它的结构框图如下图1-1所示. 这基本的五大件通过数据总线连接,实现数据的处理和控制。 部件实验过程中,各部件单元的控制信号是人为模拟产生的,而综合实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 2.总体设计 模型机主要由运算器、控制器、存储器、数据总线、输入输出和时序产生器组成,模型机的结构图如图2-1所示。 图2-1 模型机结构图 在图2-1中T1、T2、T3和T4等控制信号都是由时序产生器生产,时序产生器由时序电路实现如图2-2所示,时序产生器一个周期中产生四个脉冲信号T1~T4,这四个脉冲信号用于控制组件的执行顺序,组件在这些信号的控制下有序的执行,一个周期中完成一条微指令的执行。 图2-2 时序产生器

16位模型机的设计

16位CPU的设计 要求: 此模型机的功能是将存储区的数据块复制到另一个存储区。 汇编代码如下: START:LOADI R1,0010H ;源操作数地址送R1 LOADI R2,0030H ;目的操作数地址送R2 LOADI R6,002FH ;结束地址送R6 NEXT:LOAD R3,[R1] ;取数 STORE [R2],R3 ;存数 BRANCHGTI START ;如果R1>R6,则转向START INC R1 ;修改源地址 INC R2 ;修改目的地址 BRANCHI NEXT ;转向NEXT 1.16位CPU的组成结构

2.指令系统的设计 一、指令格式 1)单字指令格式 2)双字指令格式 操作码指令功能 00001 LOAD 装载数据到寄存器 00010 STORE 将寄存器的数据存入到存储器 00100 LOADI 将立即数装入到寄存器 00101 BRANCHI 无条件转移到由立即数指定的地址 00110 BRANCHGTI 如果源寄存器容大于目的寄存器的容,则转移到由 立即数指定的地址 00111 INC 寄存器容加1指令 依据以上设计的指令系统,则完成数据块复制的程序如下: 地址机器码指令功能说明 0000H 0001H 2001H 0010H LOADI R1,0010H 源操作数地址送R1

一、程序包:说明运算器的功能、移动寄存器的操作、比较器的比较类型和用于CPU控 制的状态类型。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package cpu_lib is subtype t_shift is unsigned (3 downto 0); constant shftpass :unsigned(3 downto 0):="0000"; constant sftl :unsigned(3 downto 0):="0001"; constant sftr:unsigned(3 downto 0):="0010"; constant rotl :unsigned(3 downto 0):="0011"; constant rotr :unsigned(3 downto 0):="0100"; subtype t_alu is unsigned(3 downto 0); constant alupass :unsigned(3 downto 0):="0000";

模型设计与制作课程标准

模型设计与制作课程标准 Prepared on 22 November 2020

《模型设计与制作》课程标准 学院浙江农业商贸职业学院 系部艺术设计系 教研室环艺设计教研室 教师许灿 《模型设计与制作》课程标准 【课程名称】 模型设计与制作 【适用专业】 高等职业学校会展策划与管理(广告与会展方向)专业 一、前言 (一)课程性质 《模型设计与制作》是会展策划与管理专业(广告与会展方向、展示设计)开设的一门专业课,该课程主要包括运用各种材料进行进行会展模型制作,通过学习让学生掌握会展模型制作的过程和方法,掌握设计软件和雕刻机的使用方法,以及熟悉各种模型制作的材料。 (二)课程设计思路 本课程的总体设计思路是,打破传统学科课程以知识为主线构建知识体系的设计思路,采用以项目操作的实际工作任务为引领,通过任务整合相关知识和技能来设计该课程。 本课程的相关工作任务是通过构想、草图、效果图、制作模型等手段来展示成果,模型更是表现空间设计的直接手段。通过本课的学习掌握模型在设计活动中的作用与意义,及其制作的正确方法和过程。在实践过程中培养独立思维,提出问题和解决问题的能力。为更深入的研究所设计空间提供新的途径和构思表现的方法,为设计的推敲与完善提供技术支持。 本课程教学活动的设计,以培养学生动手操作能力为主线,从而提高学生的直观感受力及创新设计能力。 二、课程目标 在教学中通过理论与实践的训练,使学生懂得学习模型制作的作用与意义,理解并掌握模型制作的基本原理和方法,提高学生对三维空间设计的形态、知识的理解和掌握,培养学生模型制作的基本原理与三维空间表现设计的能力,继而培养学生的创新意识和审美情趣,为专业设计的学习打下扎实的基础。

嵌入式的8位CISC模型机设计报告

韶关学院 课程设计说明书(论文) 课程设计题目:嵌入式的8位CISC模型机设计 学生姓名: 学号: 院系:计算机科学学院 专业班级: 指导教师姓名及职称: 起止时间:2011 年10 月——2011 年11 月 课程设计评分:

目录 一、实验目的 二、设计题目及要求 三、设计方案: 1.模型机的总体设计 2. 微程序控制器的组成原理框图 3. 模型机机器指令格式和指令系统 4. 时序产生器的设计原理及时序波形图 5. 微程序流程图 6. 微程序控制器单元 7. 汇编语言源程序 8. 机器语言的源程序 四、设计的过程与步骤 五.模型机系统顶层电路图 六.模型机的时序仿真波形图七.设计总结 八.参考文献

韶关学院课程设计任务书 学生姓名专业班级09科学与技术2班学号 指导教师姓名及职称设计地点信息418 设计题目嵌入式的8位CISC 本课程设计课题任务的内容和要求: 设计一台嵌入式的8位CISC模型计算机,并运行能完成一定功能的机器语言程序进行验证,程序功能可以是以下两个之一: ?求出1到任意一个整数N之间的所有奇数之和并输出显示,和为单字长。 说明:N从开关输入,和从数码管输出,然后输出显示停止。 对本课程设计工作任务及工作量的要求: 课程设计完成工作任务内容如下: (1)完成系统的总体设计,画出模型机数据通路框图。 (2)设计微程序控制器(CISC模型计算机)的逻辑结构图。 (3)设计机器指令格式和指令系统。 (4)设计时序产生器电路。 (5)设计所有机器指令的微程序流程图(CISC模型计算机) (6)设计操作控制单元。 ●设计的是CISC模型计算机,设计微指令格式(建议采用全水平型微指令),并根据微程序流程图和微指令格 式设计微指令代码表。根据微程序控制器的逻辑结构框图、微指令格式和微指令代码设计微程序控制器,包 括地址转移逻辑电路、微地址寄存器、微命令寄存器和控制存储器。 (7)设计模型机的所有单元电路,并用VHDL语言(也可使用GDF文件——图形描述文件)对模型机中的各个部件进行编程,并使之成为一个的整体,即形成顶层电路或顶层文件。 (8)由给出的题目和设计的指令系统编写相应汇编语言源程序(验证程序)。 (9)根据设计的指令格式,将汇编语言源程序手工转换成机器语言源程序,并将其设计到模型机的ROM中。(10)使用EDA软件MAX+plusII进行功能仿真,要保证其结果满足题目的要求(其中要利用MAX+plusII提供的波形编辑器,选择合适的输入/输出信号及中间信号进行调试)。 (11)选用给定的FPGA芯片——EP1K30TQC144进行器件编程和时序仿真,并将顶层电路或顶层文件下载到专用的EDA实验平台——GW48 EDA教学实验系统后进行操作演示。 课程设计应完成的图纸: (1)顶层设计的电路原理图; (2)元件(模块)符号图; (3)仿真波形图。 进度安排: 时间为18学时,分散在9周进行,每周2学时。 1、第1--4周:EDA技术基础知识教学讲座; 2、第5—8周:MAX+PLUS基础实验,确定课程设计题目,进行资料收集和学习、设计方案确定、电路设计、 程序设计与计算机仿真; 3、第9周:编写课程设计实验报告。 主要参考文献: [1] ]陈智勇等编. 《计算机原理课程设计》. 西安电子科技大学. 2006年6月 [2] 潘松黄继业编. 《EDA技术实用教程》(第二版). 科学出版社 2005年2月 [3] 罗克露等编. 《计算机组成原理》. 电子工业出版社 2004年8月 [4] 江国强编. 《EDA技术习题与实验》. 电子工业出版社 2005年1月 [5] 彭玄璋编《基于EDA技术的组成原理课程设计实验指导书》(自编教材) 2010年3月

模型制作实验报告

模型制作实验报告 1、实验目的与要求 通过本次实验练习模型制作,熟悉建筑模型材料的种类、特性,学会使用钢尺、美工刀等模型制作工具,基本掌握模型的制作技法。为将来在箭镞设计课程中使用模型推敲方案打下基础。要求根据课程设计命题,结合自身设计概念制作模型,可以有一定的取舍,不能有大的错误,制作认真仔细,整体模型干净利落。最后完成得模型要求按照自己的设计方案,体块表现清楚,有自己的风格。 2、实验方案: 结合课程设计的进度,在一草方案后制作工作模型,用于推敲建筑环境、建筑体量、材料、色彩等方面要素,学习以制作模型的形式激发创作灵感、推进方案设计。在基本明确建筑设计方案后进行模型制作设计,选用卡纸、PVC板等作为主材,适用选用色纸、瓦楞纸、型材等作为辅材,利用钢尺、美工刀、模型胶等工具制作建筑模型呈现设计方案。 3、实验过程和数据处理: 听取了专业老师的意见后,我使用了pvc板(厚度为2cm)和kt板作为这次作业的模型主要材料。Pvc板作为主模型的材料,因为其比较结实,不容易被破坏,而且表面平滑,外观看起来十分规整。而kt板则作为模型底座的材料,在kt板上容易插入模型花和粘贴模型人,但是kt板不能与502胶水接触,其会被腐蚀。所以在制作模型时,对于底座的粘合,我使用的是u胶,而pvc板的粘合我会根据需要,使用u胶和502胶水。这次制作模型需要用到的工具中,有手术刀,ut刀,直尺、90度尺、切割板u胶、502胶水等。 考虑到这次制作的模型是塑料模型,因此所需用到的工具比较少。而这次制作模型的手法,鉴于我是大一新生,在经济和知识掌握程度的限制上,我是手工制作模型的。在制作模型时,有直接粘合、镶嵌粘合和穿插的步骤。在制作模型时,我曾经遇到因为粘合位置特殊的原因,很难把两块pvc板粘合在一起或者由于柱子太长,不能轻易与pvc板粘合的问题。一开始我是使用u胶粘合的,但后来发现,原来在一些地方,可以用502胶水作粘合剂,但是值得注意的是,在使用502胶水前,应该确认是否这样粘合,一旦粘合错了,分离工作会很难,而且强制分离会破坏pvc板。另外,在制作模型是,我会发现自己设计的建筑,有些地方做起模型来,会有比较大的难度,会花比较多的时间,于是自己会在考虑是否应该对原来的设计方案进行修改,而如何修改,这又是需要慢慢去思考的,因此,在做模型的时候会发现不少的对设计有用或使你感到困惑的东西。在数据处理方面,我认为做模型对数据的处理十分有用,因为当你把设计从二维转化为三维时,你会发现,你所定的数据不适合人体的模度,对于整个场地的迎合十分不适合。当然,在处理数据时,一些建筑规范是不能忽略的,你的数据可能是不可能实现的东西。因此,在数据处理是,要遵守人体的模度、整个场地的迎合和建筑规范来进行。另外,在处理数据时,我一般时先定大范围的数据,在处理小地方的数据的。可能两方面一起处理会比较好,这我会更加留意这一点。而在数据的整理时,对于复杂的数据,我通常是结合场地的情况稍作调整,当你做出一个模型时,1:20或更大的比例模型用于观察这建筑是否适合人的模度,1:100或更小的比例模型用于观察这建筑是否迎合整理环境的。我制作了1:100和1:50的模型进行分析,最后定出了我的模型方案。

建筑模型制作实验报告

建筑模型制作实验报告 Company Document number:WUUT-WUUY-WBBGB-BWYTT-1982GT

学生实验报告 (理工类) 课程名称:规划设计模型制作专业班级:城乡规划 学生学号:学生姓名: 所属院部:建筑工程学院指导教师:刘琰 2014——2015学年第 2 学期 金陵科技学院教务处制

实验项目名称:江宁校区总体规划模型制作实验学时:24学时 同组学生姓名: 实验地点:实验楼B203 实验日期:实验成绩: 批改教师:刘琰批改时间: 一、实验目的和要求 目的:1、学习利用规划模型分析总平面的布局 2、学习规划模型的制作方法 要求:在读懂图纸的基础上,通过对空间、功能、结构、环境、流线、体量、外观、平面到剖面、几何关系、基本形状、逻辑关系等方面进行总体分析, 理清建筑平面和空间的组成关系,理清建筑与道路的关系,最后完成规划 模型的制作。 二、实验仪器和设备 1.测绘工具 三棱尺(比例尺) 、直尺、三角板、弯尺 (角尺) 、圆规、游标卡尺、蛇尺等。 2.剪裁、切割工具 勾刀、刻刀、裁纸刀、角度刀(45o) 、切圆刀、剪刀、手锯、钢锯、电磨机、电热切割器等。 3.打磨喷绘工具 砂纸、锉刀、什锦锉、木工刨、台式砂轮机。 4.粘合剂 三、实验过程

第一次模型制作实验课在工科楼模型教室,之前老师在多媒体教室跟我们讲解了模型制作的工具,材料等基本知识,发任务书。 这一次在模型教室老师带我们参观了一下往届做的模型,看到学姐学长的作品时,感觉有点震惊,稍微有点不自信,但是在我们仔细参观与讨论我们自己组用的材料与制作流程后,我立马又斗志昂扬了起来。参观完往届作品后,我们确定小组成员,小组开始确定制作模型所需的材料,大致分配了任务,男生做模型,女生做细节部分。我们组的组员经过积极热烈的讨论,初步确定了地形,草,建筑的材料,地形采用灰色纸板,草为普通草皮,多数建筑为PVC板为骨架,少部分为泡沫,同时大概制定了制作流程与方案。 方案确定后,我们小组成员在第二天就全部出发去购买制作模型所需的材料,我们按着讨论后的清单购买,包括灰色的卡纸、厚泡沫板、薄木板、PVC板、树粉、树干,草皮,胶水等一系列材料。 感悟:在此次购买中,我们小组有着很激烈的讨论,虽然在昨天已确定好清单,但是到了店里发现我们考虑的还是不够周全。 第二次模型制作实验课我们通力合作,用木板做底将买来的厚泡沫板做第二层底,上面再铺一层厚的PVC板,层与层之间用双面胶与泡沫胶粘合。其实我们在黏板的事先并没想好用什么黏,我们是在仔细观察了其他的组用的粘合材料后经过比较后讨论决定的,这也算取长补短了。我们一边黏一边试试粘合的效果,感觉比较结实。然后用复写纸将打印好的cad 地形描到买好的灰色卡纸上,而我则负责将地形上的绿地剪出来,作为之后剪草皮的模板。这是一件费时费力的工作,因为老师给我们的学校地形

模型机实验报告

哈尔滨工程大学 实验报告 实验名称:复杂模型机设计与实现 班级: 学号: 姓名: 实验时间: 成绩: 指导教师:程旭辉附小晶 实验室名称:计算机专业实验中心 一、实验名称:复杂模型机的设计与实现 二、实验目的:

1.综合运用所学计算机原理知识,设计并实现较为完整的计算机。 2.设计指令系统。 3.编写简单程序,在所设计的复杂模型计算机上调试运行。 三、实验设备: GW-48CPP系列计算机组成原理实验系统。 四、实验原理: 1.数据格式 8位,其格式如下: 其中第7位为符号位,数值表示范围是:-1≤1。 2.指令格式 所设计的指令分为四大类共十六条,其中包括算术逻辑指令、I/O指令、访问、转移指令和停机指令。 (1)算术逻辑指令 设计9条算术逻辑指令并用单字节表示,采用寄存器直接寻址方式,其格式如下: 其中, (2)访问指令及转移指令 访问指令有2条,即存数(STA)、取数(LDA);2条转移指令,即无条件转移(JMP)、结果为零或有进位转移指令(BZC) 其中,OP-CODE指令)。D为位移量(正负均可),M为寻址模式,其定义如下: 在本模型机中规定变址寄存器RI为寄存器R2。 (3)I/O指令 输入(IN)和输出( 其中,addr=01时,选中“OUTPUT DEVICE”中的LCD

点阵液晶屏作为输出设备。 (4)停机指令 指令格式如下: 3.指令系统 共有16条基本指令,其中算术逻辑指令7条,访问内存指令和程序控制指令4条,输入/输出指令2条,其

本模型机的数据通路框图如图7-1。根据机器指令系统要求,设计微程序流程图及确定微地址,如图7-2。 图7-2 微程序流程图 五、实验内容: 按照系统建议的微指令格式,参照微指令流程图,将每条微指令代码化,译成二进制代码表,并将二进制代码表转换为联机操作时的十六进制格式文件。 微代码定义如表7-1所示。

模型制作实训报告

中国某某学院实践报告评审表 实践项目名称 模型制作实训 本次实践学分 1 实践单位或地点校内B-306 起止时间2014年12月29日至2015年1月5日 指导 教师 评语 成绩指导教师(签章): 年月日实践单位意见(签章): 年月日教研 室审 核意 见 审核人(签名) 年月日系部 意见 验收人(签章) 年月日 注: 本表按专业班级填报;由系部自行汇总存档备查。中国某某学院 实践报告 实践类型:(实验、实训、实习、顶岗实习等)系部:88888系二〇_88 级 专业:工业设计班级:8 学生姓名:某某学号:888888888 教务处编制 2015年1 月5 日

实践报告 实践,就是把我们在学校所学的理论知识,运用到客观实际中去,使自己所学的理论知识有用武之地。只学不实践,那么所学的就等于零。理论应该与实践相结合。另一方面,实践可为以后找工作打基础。要学会从实践中学习,从学习中实践。 经过大学2年多的学习,已经初步掌握了一个产品从想法变成现实的方法,这个学期的实训是机械制造实训,利用3D打印机把自己事先设计好的产品模型通过3D打印机把它打印出来,并且打印出来后通过砂纸打磨,502和喷砂材料对产品进行表面的光滑处理,最后在进行色彩的喷绘,使产品变得更加真实具有质感。 1.首先从想法到到Proe的建模; 2.然后在Proe软件里进行格式的转换,使产品模型的格式变成3D打印机可以支持的STL格式; 3.并且把打印机组合安装后连接上电脑,然后在电脑上安装打印机的软件,通过打印软件把转换好的模型STL格式导入到软件中; 4.为了使模型的大小可以在打印机里打印,更加快速的打印出来,所以得对模型进行缩放;

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

内训师如何做好课程设计开发

内训师如何做好课程设计开发 一、从内训师模型来讲,一个优秀的课程必须要具备三个特点, 1.内容易懂 课程的内容易懂,就是让学员听起来能够接受,这是课程设计必须要遵循的一个原则。 2.结构简单 课程的结构简单,指的是课程的整体结构应当简洁、清晰,让学员能够一目了然、容易理解。 3.呈现优雅 课程呈现优雅,就是要让学员听起来感到赏心悦目。 二、学员最易接受的课程结构 三大课程结构:精彩而吸引人的“虎头”、漂亮修长的“龙身”和干净利落的“豹尾”。 1.课程开场设计——虎头 虎头即课程的开场设计主要包括以下内容,如表1所示。 2.课程精华讲解——龙身 作为课程的精华讲解部分,内训师在设计“龙身”时应当注意以下几点:

(1)使听众对课程内容有一个全局性的了解 (2)就要适当地引入一些案例 (3)逻辑清晰,结构明了 (4)讲授的信息点尽量少 流程法和要素法 流程法。内训师在授课时通常都有一定的流程,如先讲解,中间做游戏和互动,最后总结。 要素法。要素法是指课程要具备三个关键要素,即内容易懂、结构简单、呈现优雅。 课程的龙身部分要想设计得非常精彩,必须具备四个元素: 一是理论知识点; 二是案例; 三是图表和工具; 四是教学视频。 3.课程结尾收场——豹尾 课程结尾的收场就是豹尾,豹尾有以下特点: 简洁明快、干净利落 豹尾要简洁明快、干净利落。需注意的是,结尾虽然力求简单,但要有内容。 在课程结尾时,内训师一定要用一个案例进行说明,且所使用的案例一定要非常经典和精彩。 三、如何讲好课程中的“龙身”部分 龙身是整个课程最为重要的主体部分,包含三部分内容,如表2所示:

四、课程讲解要素比例分析 课程的讲解主要由呈现、声音表达和内容三大结构组成 1.呈现 所谓呈现,指的是所讲授的东西,包括知识观点、案例、图表、素材、视频等元素。呈现在课程讲解中所占比例达50%。 2.声音表达 声音表达是课程讲解的另一个要素,占38%的比例。 要拥有一个有魅力的嗓音 要掌握正确的发声方法 要有自己的标准口头禅 3.内容 内容即理论内容,在课程讲解中只占7%。 五、关注课程信息句的使用 在授课过程中,内训师必须关注课程信息句的使用,具体来说包括: 1.告诉学员你对主题的观点 2.告诉学员这是最核心的部分,是整个演讲(授课)的魂 3.强化信任关系,确保不偏离主题,确保散的东西有一个统一点 4.一个信息句就像一个简短的宣言,使学员立刻了解你所讲述的观 点 5.从结论开始,金字塔思维 六、应用“强主线”设计课程

模型实训心得体会

模型实训心得体会 篇一:模型制作实习心得总结 模型制作实习心得总结 实习时间:— 实习地点:建筑模型制作教室 实习目的: 这次模型制作课程实习的主要目的是,通过动手操作方式来加强我们学生对空间的认识以及模型的制作能力,锻炼到我们做事情的耐性与细心,理解模型制作在设计中的重要性,进而掌握模型制作的基本工具、方法和过程,锻炼手的实践能力,完善设计知识和设计实践能力以及团队合作能力。实习过程: 此次实习,我们分两大组,大组又分四小组,每小组四人,分工明确,尽可能的发挥各自的特长,为我们的团队出一份力。此次我们做的是概念性的山体模型。为使两组有区别,所使材料颜色也有所不同,一组山体使用白色kt板,建筑则使用abs板喷灰漆,另一组颜色恰恰相反,使用厚纸箱喷灰漆,然后建筑则是白色abs板,配景与建筑相呼应。此次我们做的模型是后者。 前期我们准备绿植配景以及准备模型制作所需材料、工具(纸箱、模型刀、胶水、尺子、剪刀、喷漆、颜料、双面胶、砂纸等材料)。每人准备纸箱(作为山体)还有树杈(作

为山地配景,校内寻找树杈老师筛选小组成员打磨喷漆)。然后每小组两两分工,一半负责模型尺寸并用cad表达出来,另一半则负责修剪打磨泡沫圆球作为配景。由于我们负责山体,工程量较大,于是同学们合力按照尺寸把纸箱裁成不规则的形状(堆积成山体)打磨,还有负责建筑的同学按照比例算好尺寸,通过这样的合理分工,我们的前期工作快速顺利的完成。接下来就是我们的重要环节了。 中期工作也就是我们的模型制作过程了,从前期到现在,我们队的同学对待自己负责的任务都特别的认真、细心。模型制作开始了,我们再次的讨论、分配任务。负责建筑的同学利用kt板abs板在雕刻机上按照尺寸雕刻出来,然后合力粘接。我们组负责山体,先是在底盘上比划筛选然后利用厚双面胶粘接,初步形成山体,然后喷上灰色喷漆,由于喷上灰漆后效果不是很理想,于是又经过探讨老师指导,决定在山体刷胶粘结上绿色的草坪,中途虽说有一些失误,但经过老师的指导整体效果完成得很好。 前期和中期完成得很好,后期我们主要负责把建筑在山体上放到合适的位置在山体增加配景,调整建筑,这个过程很快完成。 实习体会: 首先,通过这学期的模型课,我感觉受益匪浅,最基本的,我对一些常用的模型制作材料的特性和加工工艺有了了

8位模型计算机的设计

石家庄经济学院 华信学院 计算机组成原理课程设计报告 题目 8位模型计算机的设计 姓名 学号 班号 4064170801 指导老师关文革尹立洁赵洋 成绩 2009年1月 目录 1. 课程设计目的 52 2. 开发工具选择 52 3. 方案选择 52 4.指令系统设计 52 5. 模型机框图设计 52 6. 指令流程图 52 7. 指令操作时间表(组合逻辑控制器)或者微指令格式(微程序控制器)设计 52 8. 微操作信号综合与优化(组合逻辑控制器)或者微程序(微程序控制器)设计 52 9. VHDL实现 52 10. 调试仿真 52 11. 课程设计回顾总结 52 参考文献 52 附录 52 一、课程设计目的 1、计算机组成原理课程设计的主要任务是让学生通过动脑和动手解决计算机设计中的实际问题。综合运用所学计算机组成原理知识,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本的模型计算机,掌握整机概念,并设计机器指令系统,编写程序,在所设计的模型计算机上调试运行。

2、通过一台模型机的设计过程,明确计算机的控制原理与控制过程,巩固和灵活应用所学的理论知识,掌握计算机组成的一般设计方法,提高学生设计能力和实践操作技能,为从事计算机研制与设计打下基础。 二、开发工具选择 以TEC-CA教学实验系统为平台,采用硬件描述语言 VHDL为设计工具,应用QUARTUSⅡ5.1环境进行大规模集成电路的功能设计仿真。 三、方案选择 应用微程序控制器来实现8位模型计算机的设计。 四、指令系统设计 所要设计的微程序控制器是由七条指令来完成的,即:load,sta,add,sub,and1,nop,jmp。实现功能分别如下:load指令是公操作,实现取数据的功能;sta指令实现存操作;add指令实现加法操作;sub指令实现减法操作;and指令实现与操作; nop指令实现空操作;jmp指令实现无条件跳转操作。 其中add,sub,and1,属于双操作数指令,其指令格式如下图: 目的 源 其中jmp属于转移指令,其指令格式如下图: 转移条件 转移地址 五、模型机框图设计 I/O 六、指令流程图 Addr_bus load_MAR CS R_NW 3 OP

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

纸模课程设计

一、小学模型制作的意义、特点与内容 1.小学生模型活动和小制作的意义 (1)有利于激发学生立志为我国的航空、航海、车辆及科技事业的发展作贡献我们的祖先在航空、航海、车辆等方面的发明和创造,对人类世界作出过巨大的贡献。 在航空领域,我们的祖先制作出了种类繁多的风筝、竹晴蜒、孔明灯和木鸟模型。它们在飞机发明的过程中起了重要的作用。 在航海领域,我们的祖先创造了人类历史上最原始的船——独木舟。明代伟大的航海家郑和率领庞大船队七下西洋,无论在船只数和人数上,都超过了哥伦布船队和麦哲伦船队,成为世界航海史上的一大创举。我国四大发明之一的指南针应用于航海领域,成为世界航海史上划时代的事件。 在车辆方面,我国是世界上制造和使用车辆最早的国家,相传在5000年前就开始使用战车。指南车和记里鼓车以它们复杂的机械结构,占据了车辆发展史上的一个重要地位。 但是,我国在航空、航海、车辆等方面同工业发达的国家相比,还有不少差距。开展航空、航海、车辆和小制作活动,可以使小学生了解我国航空、航海、车辆发展的历史和现状,激发学生从小立志献身于祖国的航空、航海、车辆事业,为四化建设作出贡献。 (2)有利于开拓小学生的视野,把学到的知识运用到实际中去 模型活动和小制作,需要运用许多科学知识,涉及到小学自然常识中的许多问题。如要了解飞机的飞行,就要运用空气方面的知识,航海要运用海洋知识等等。同时还要用到许多其他学科的知识。通过模型活动和小制作,使已经学过的知识得到加深和理解,对于还没有学习到的知识,会引起小学生强烈的求知欲,扩大视野,为今后的学习打下良好的基础。 (3)有利于培养小学生各种能力,发展智力 模型活动和小制作内容十分丰富。在活动中不但能学到许多知识,而且能培养学生的各种能力。通过参观访问,可以引导学生认真观察、勤于思考、仔细分析,培养观察能力和思维能力。通过设计制作,可以启发学生结合运用所学知识、勇于实践,培养创造能力和动手能力。通过开展竞赛,可以吸引学生参加组织工作,提高社会活动能力等等。同时,经过一定的制作实践,可以鼓励小学生自己设计,自己收集资料,独立完成制作,以培养创造型人才。 二、小学生模型活动和小制作的特点 (1)多样性 模型活动和小制作种类繁多。从构造上看,有纸木结构的简单模型和小制作,也有要用几千个零件、制作要求较高的无线电遥控模型;从性能上看,有只能观赏的实体模型,有只能运动短距离、几秒钟的模型,也有运动长距离、几十小时的模型。模型活动和小制作的多样性,为不同年龄的个人、不同条件的学校提供了广泛的选择余地。 (2)趣味性 不同种类的模型和小制作,有不同的性能,制作出来的飞机能飞、轮船能开、汽车能跑、小制作能动会亮,这就使得模型活动和小制作趣味无穷。通过制作和调整,使模型和小制作的性能不断提高,更对学生具有强烈的吸引力。 (3)实践性 模型活动和小制作最明显的特点就是实践性强。任何一件模型或小制作,都要通过学生的亲自制作、装配、亲自检查、调试才能完成。做这些工作,又需要开动脑筋,手脚勤快,从而有利于培养学生的独立工作能力。 (4)探索性 在制作模型活动和小制作时,重复工作较少,即使重新制作,也要求比上一次制作得更好,这就需要不断改进工艺。制作好的模型和小制作,还需要进行不断调试,以达到最佳状

基本模型机设计与实现.

课程设计 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 学院:信息工程与自动化 专业:计算机科学与技术 年级: 学生姓名: 指导教师:王海瑞 日期: 教务处制

课程设计任务书 信息工程与自动化学院计算机专业年级 学生姓名: 课程设计题目:基本模型机设计与实现 课程设计主要内容: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微 程序。将所设计的微程序在计算机组成原理教学实验系统环境中进行测试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计报告。 首先要确定所设计计算机的功能和用途,设计中根据功能和用途确定指令系统, 数据的表示格式,位数,指令的编码,类型,需要设计那些指令和寻址方式。确定相 对应指令所包含的微操作以及总体结构设计之间的数据通路结构,在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微指令。 设计指导教师(签字): 教学基层组织负责人(签字): 年月日

目录 一、基本模型机的设计,,,,,,,,,,,,,,,, 4 1、程序设计目的,,,,,,,,,,,,,,,, 4 2、程序设计任务和基本要求,,,,,,,,,,,, 4 3、实验原理,,,,,,,,,,,,,,,,,,,,,,, 5 二、实验内容及步骤,,,,,,,,,,,,,,,8 1.实验内容,,,,,,,,,,,,,,,,,,,8 2.实验步骤,,,,,,,,,,,,,,,,,10 3.实验情况及记录,,,,,,,,,,,,,,,14 三、总结体会,,,,,,,,,,,,,,,,,,15 四、参考文献,,,,,,,,,,,,,,,,,,16 一、基本模型机的设计 1、程序设计目的 (1)掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握信息流和控制信息流的流动过程,

创新建筑模型课程设计

课程设计说明书 课程名称:创新建筑模型制作开放实验 课程代码: 8501671 题目:田园别墅 学院(直属系) :建筑与土木工程学院 年级/专业/班:2008级建筑工程2班 学生姓名:何林 学号: 312008********* 指导教师:郑树奎老师 开题时间: 2010 年 6 月 28 日 完成时间: 2010 年 7 月 2 日

目录 1.摘要 (2) 2.引言 (3) 3.任务与分析 (4) 4. 正文………………………………………………………………………………………5-10 5.结论 (11) 6.致谢 (12) 7.参考文献 (13)

摘要 本模型以简约为主,简约而不简单。通过各个景物的相互映衬,体现出平凡中的不平凡,各个景物的安排都是设计的独具匠心的体现。贴近自然是本模型的另一个特点,来源于自然更融合于自然,让居住者心旷神怡。在追求自然、环保、经济、实用,节能等方面的基础上追求在室内环境上给人一种新颖的特色美,较强的亲切氛围。 关键词:简约,自然

引言 建筑模型设计是一种认知过程,是对室内设计学的原理、法则的具体应用,学生只有努力提高自己的素质,才会有创新。设计作品应给人以高雅、不落俗套的感觉,对于设计的基本原理、法则的把握应恰到好处,在实践中不断积累经验,不断探索刻意求新,从而掌握规律,总结经验,提高审美水平,开阔视野。为了增加我们对建筑模型设计的认识,提高我们的实际操作能力,故我们做了此次建筑设计及的模型,简约和自然是我们的设计理念,住宅不是艺术品,它首先是人们赖以栖身的地方,因此应以实用和舒适为第一目标。人每天都要在自己的住宅里活动、生活,装修的根本目的是为人创造一个舒适的生活空间,让人一进入住宅就有家的感觉。有家的感觉似乎是很平常很一般的要求,但也恰恰是最基本最重要的要求。

模型制作实习报告

课程实习指导书 2011~ 2012 学年第一学期 课程名称《家具模型制作》课程实习适用专业 计划学时2周 指导教师 2011年9月1日

灯具制作实习报告 一、课程实习目的 这次灯具的制作的主要目的是让我们由设计的单纯想想,到实体制作的真实体验,让我们深切地体会到天马行空的想想是需要很大的实际付出才能换来的。而且此次制作锻炼了我们的动手操作的能力,从而加强了我们以后对设计方案的完善,同时还了解了制作时所需要的课堂上不曾接触的制作工具,还有一点就是通过这次制作锻炼到了我们做事的耐性与细心。 二、实习地点 三、实习时间 2012年1月1日—2012年1月14日 四、小组成员 五、方案图 六、工艺制作过程 1、方案的形成阶段 (1)首先搜集各种灯具造型,我们从网上还有图书馆去搜集各种灯具的 造型,因为我们初步定为我们做的灯具是现代化的简洁时尚大方的灯具。所以我们尽量选择我们所需要的造型,颜色,来进行比较和参考,我们的灯具设计奠定基础。 (2)然后我们根据各处搜集的资料进行筛选,多方面的去提取个灯具的精华,取其精华,去其糟粕,我们经过讨论,然后设计了多个方案,最后决定了此方案,我们都很满意,也对作出的灯具很有信心。 (3)确定方案,我们经过了很久的纠结与讨论,最后确定方案,然后根据选择的 方案,进行草图的绘制,组后 选择材料,定下最左方案。确 定制作的流程。 2、模型的制作方案 (1)选材

因为我们所设计的灯 具外观是需要竹片做装饰, 所以我们首先将圆竹进行 四份等分,由于开始的投机 取巧,我们想选用老师已经 为我们劈好的竹片,可是实 在是太厚太大,超出了灯具 本身可以承受的重量,所以 我们又重新选材,选择了比 较轻巧且合适的竹子准备 进行四份等分。 (2)去皮、打磨 因为我们选的是原始 竹子,所以首先要吧竹子的外层绿皮去掉,我们用刀子一点一点每一根进行认真去皮,去皮后我们用砂纸将我们劈好的竹片里里外外进行打磨,使之变得光滑。 (3)主体制作 我们的灯具主体是圆形的,所以我们先 选择较硬 的长竹条进行弯曲成型,根据我们需要 的尺寸,然后用铁丝进行固定。 (4)外观制作 开始,我们将打磨好的竹片贴在圆 形的主体上,但是发现,我们磨好的竹 子还是弧度太大了,可以互相粘贴的面积太小了,很容易掉下来,所以,我们再将所有的竹片重新削减打磨,使接触面积大一点,终于再一次重新返工之后,我们在圆形主体上用铅笔画出竹片贴上去的位置,本来我们是选用白乳胶进行固定,可是我们发现,用白乳胶固定干的很慢,而且圆形的主体周围都贴满竹片另一面就会很快的滑落,根本就固定不住,所以,我们先选用万能胶进行快速固定,等四周全部固定住后,再用白乳胶进行加强固定,然后放在一边进行风干,我们接着进行另一个圆形主体的制作。

八位模型机设计

八位模型机设计 | | 实验名称八位模型机设计 课程名称计算机组成原理程序设计 | | 专业班级:计算计科学与技术 学生姓名: 实验日期:2015年5月 验证性、综合性实验报告应含的主要内容: 一、实验目的及要求 二、所用仪器、设备

三、实验原理 四、实验方法与步骤 五、实验结果与数据处理 六、讨论与结论(对实验现象、实验故障及处理方法、实验中存在的问题等进行分析和讨论,对实验的进一步想法或改进意见) 七、所附实验输出的结果或数据 设计性实验报告应含的主要内容: 一、设计要求 二、选择的方案 三、所用仪器、设备 四、实验方法与步骤 五、实验结果与数据处理 六、结论(依据“设计要求”) 七、所附实验输出的结果或数据

目录 一、摘要....................................................................................................... 错误!未定义书签。 二、前言....................................................................................................... 错误!未定义书签。 三、设计目的、任务与内容....................................................................... 错误!未定义书签。 3.1设计目的.......................................................................................... 错误!未定义书签。根据计算机组成原理课程所学知识,设计一个8位的模型计算机。 .. 错误!未定义书签。 3.2设计任务.......................................................................................... 错误!未定义书签。 3.3设计内容.......................................................................................... 错误!未定义书签。 四、八位模型机整体设计........................................................................... 错误!未定义书签。 4.1总体结构.......................................................................................... 错误!未定义书签。 4.2指令系统 (9) 4.3运算器 (10) 4.4存储器 (11) 4.5控制器 (12) 4.6指令流程图和操作时间表 (15) 4.7微指令码 (15) 五、实验感想与讨论 (21) 六、参考文献 (22)

相关主题