搜档网
当前位置:搜档网 › 乒乓球游戏机(1)

乒乓球游戏机(1)

乒乓球游戏机(1)
乒乓球游戏机(1)

大连理工大学城市学院数字电路与系统课程设计设计题目:乒乓球游戏机

学院:电子与自动化学院

专业:电气工程及其自动化

学生:杨显奎

同组人:闫瑞峰石满磊

指导教师:刁立强

完成日期: 2013年4月22日

目录

第一章设计任务

1.1项目名称

1.2项目设计说明

1.2.1设计任务和要求

1.2.2进度安排

1.3项目总体功能模块图

第二章需求分析

2.1问题基本描述

(要求分析得出整个系统流程图)

2.2系统模块分解

2.3系统各模块功能的基本要求

第三章设计原理

3.1 设计原理

3.2 QUARTUSII介绍

第四章系统功能模块设计

4.1核心模块

4.1.1核心模块流程图

4.1.2输入输出引脚及其功能说明

4.1.3程序代码实现

4.2分频模块

4.2.1分频模块流程图

4.2.2输入输出引脚及其功能说明

4.2.3程序代码实现

4.3消抖模块

4.3.1消抖模块流程图

4.3.2输入输出引脚及其功能说明

4.3.3程序代码实现

4.4译码模块

4.4.1译码模块流程图

4.4.2输入输出引脚及其功能说明

4.4.3程序代码实现

第五章调试并分析结果

5.1输入说明

5.2预计输出

5.3测试结果记录

5.4测试结果分析

第六章结论(最终实现哪些功能、未实现功能)心得体会

参考文献

第一章设计任务

1.1项目名称:设计乒乓球游戏机

本项目的主要内容是设计乒乓球游戏机,使该机能够模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分。该课程设计将所学的数字电路与系统大部分知识和VHDL语言结合,并利用Quartus II对程序进行文本编辑输入、仿真测试并得出仿真波形,了解控制信号的时序。

1.2项目设计说明

本项目主要用来实现、模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分。

1.2.1设计任务和要求

设计一个乒乓球游戏机,该机模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分。

要求如下:

(1)使用乒乓球游戏机的甲乙双方各在不同的位置发球和击球。

(2)乒乓球的位置和移动方向由灯亮及依次点燃的方向决定,球移动的速度为0.1~0.5s移动一位。使用者根据球的位置发出相应的动作,提前击球或出界均判失分。设计者可按过网击球来设计,也可按乒乓球移动到对方第二盏灯亮后方可击球来设计。

1.2.2进度安排

(1)第一次课整理好自己的整体思路,把这个设计题目分成几个模块,与组员一起分配各自的任务,并进行小模块的编程、分析。

(2)第二次课重点把自己的模块的程序调好并仿真、下载,看看是否实现了该模块功能。

(3)第三、四次课把各个模块组合起来,完成顶层设计,进行仿真、下载,实现设计要求,并在设计要求的基础上进行部分创新。

(4)第五次课,整理总结材料,向老师汇报自己的设计成果。

1.3项目总体功能模块图

2.1问题基本描述(要求分析得出整个系统流程图)

用8~16个发光二极管代表乒乓球台,在游戏机的两侧各设置两个开关,一个是发球开关,一个是击球开关。甲方按动发球开关时,靠近甲方的第一盏灯亮,然后发光二极管由甲向乙依次点亮,代表乒乓球在移动。当球过网后,乙方就可以击球。若乙方提前击球或没有击到球,则判乙方失分,甲方自动加分, 根据

乒乓球比赛的过程和规则,首先游戏开始,如果一方非正确击球则另一方加分,当分数大于11时获胜,游戏结束,则把设计流程规定如下图所示。

图2--1流程图

2.2系统模块分解

(1)核心模块

核心模块有两个功能,第一个是实现逻辑功能,即对甲方乙方的发球击球情况进行判断,然后再对双方谁得分进行记录;第二个是将整数得计分转换成十进制数,以便译码显示。

(2)分频模块

分频模块是将来自实验板上频率为50MHZ的时钟信号转化为我们需要的频率为1HZ的时钟信号。

(3)消抖模块

消抖模块是为了消除来在按键操作时可能产生的干扰。

(4)译码模块

七段译码器用来将输入数据进行翻译,便于数码管显示出数据。

第三章设计原理

3.1 设计原理

1、设计步骤:

(1)设计整个程序的核心模块,用来实现题目的全部逻辑功能。模块分两个进程,第一个实现逻辑功能,第二个将整数的记分转换为十进制数,便于译码显示。存为

corna.vhd ;

(2)设计一个模块将50MHZ 的时钟信号转化为1HZ 的时钟信号,存为fen.vhd ; (3)设计一个模块消除抖动现象的干扰,将此模块存为xiaodou.vhd ; (4)从记分子程序得到分数,译码并动态显示出来。程序名为disp.vhd ; (5)利用顶层设计将上面的四个模块组合起来,程序名为pingpanggame.vhd 。

2、各模块原理图:

模块一:核心模块 模块二:分频模块

模块三:消抖模块 模块四:译码模块

3.2 QUARTUSII 介绍

Max+plusII 作为Altera 的上一代PLD 设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera 已经停止了对Max+plus II 的更新支持。QuartusII 是Altera 公司继Max+plus II 之后开发的一种针对其公司生产的系列CPLD/PGFA 器件的综合性开发软件,它的版本不断升级,从4.0版到10.0版,这里介绍QuartusII8.0版,该软件有如下几个显著的特点:

clr af aj bf bj clk

shif t[7..0]

ah[3..0]al[3..0]bh[3..0]bl[3..0]

corna

inst13

clk q

f en

inst11

din clk

dout

xiaodou

inst12d[3..0]

q[6..0]

disp

inst11

1、Quartus II 的优点该软件界面友好,使用便捷,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的EDA工具软件。该软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。

2、Quartus II对器件的支持 Quartus II支持Altera公司的MAX 3000A 系列、MAX 7000系列、MAX 9000系列、ACEX 1K系列、APEX 20K系列、APEX II 系列、FLEX 6000系列、FLEX 10K系列,支持MAX7000/MAX3000等乘积项器件。支持MAX II CPLD系列、Cyclone系列、Cyclone II、Stratix II系列、Stratix GX系列等。支持IP核,包含了LPM/MegaFunction宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP 应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

3、Quartus II对第三方EDA工具的支持对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA工具。 Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA 供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力

第四章系统功能模块设计

4.1、核心模块

CORNA模块有两个功能,第一个是实现整个设计的逻辑功能,当游戏开始时,先通过CLR对整个系统进行清零,在输入CLK上升沿有效的条件下,甲方开始发球,在LED灯上从距离甲方最近的一个开始闪亮,并依次向乙方移动,再过了网后乙方就可以击球,若乙方击球成功,则球按原路返回,在再次过网后甲方就可击球,若甲击球成功,则按以上游戏一直进行下去,而若有一方击球失败则LED灯全部熄灭,并给对方在记分牌上记一分;倘若有一方发球失败,则给对方也在记分牌上记一分,当其中的一方记满11分时比赛结束,清零后可开始下一局。第二个是将整数得计分转换为十进制数,便于译码显示。当甲乙双方的记分低位到达9时使低位清零,同时使高位加1,以便正确地在数码管上显示得分。

CLR为乒乓球游戏清零键,接按键;AF为甲方发球控制键,接按键;AJ为甲方接球控制键,接按键;BF为乙方发球控制键,接按键;BJ为乙方接球控制键,接按键;CLK为控制乒乓球行进速度的时钟信号,接时钟信号源;SHIFT[7..0]为LED灯显示输出端,接8个LED显示灯;AH[3..0]为甲方记分的高位,AL[3..0]为甲方记分的低位,BH[3..0]为乙方记分的高位,BL[3..0]为乙方记分的低位,以上四位都在经过译码器译码后接8位数码显示管。

其VHDL 程序如下所示:

library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity corna is

port(clr,af,aj,bf,bj,clk:in std_logic; --af,aj,bf,bj:分别为A 方发球键、键,B 方发球键、接关球键,均接按键开关

shift:out std_logic_vector(7 downto 0);

ah,al,bh,bl:out std_logic_vector(3 downto 0)); end corna;

architecture corn_arc of corna is signal amark,bmark:integer; begin

process(clr,clk)

variable a,b:std_logic;

variable she:std_logic_vector(7 downto 0); begin

if clr='0' then

a:='0'; b:='0';

she:="00000000"; amark<=0;

bmark<=0; elsif clk'event and clk='1' then

if a='0'and b='0'and af='0' then --A 方发球 a:='1';

she:="10000000";

elsif a='0'and b='0' and bf='0' then --B 方发球 b:='1';

she:="00000001";

elsif a='1'and b='0' then --A 方发出球后 if she>8 then if bj='0' then --B 方过网击球

amark<=amark+1; a:='0'; b:='0';

she:="00000000"; else

she:='0' & she(7 downto 1); --B 方没有击球 end if;

elsif she=0 then --球从B 方出界 amark<=amark+1;

clr af aj bf bj clk

shif t[7..0]

ah[3..0]al[3..0]bh[3..0]bl[3..0]

corna

inst13

else

if bj='0' then --B方正常击球

a:='0';

b:='1';

else

she:='0' & she(7 downto 1); --B方没有击球

end if;

end if;

elsif a='0'and b='1' then --B方发球,情况同前

if she<16 and she/=0 then

if aj='0' then

bmark<=bmark+1;

a:='0';

b:='0';

she:="00000000";

else

she:=she(6 downto 0) & '0';

end if;

elsif she= 0 then

bmark<=bmark+1;

a:='0';

b:='0';

else

if aj='0'then

a:='1';

b:='0';

else

she:=she(6 downto 0)&'0';

end if;

end if;

end if;

end if;

shift<=she;

end process;

process(clk,clr,amark,bmark)

variable aha,ala,bha,bla:std_logic_vector(3 downto 0); variable tmp1,tmp2:integer;

begin

if clr='0' then

aha:="0000";

ala:="0000";

bha:="0000";

bla:="0000";

tmp1:=0;

tmp2:=0;

elsif clk'event and clk='1' then

if amark>tmp1 then

if ala="1001" then

ala:="0000";

aha:=aha+1;

tmp1:=tmp1+1;

else

ala:=ala+1;

tmp1:=tmp1+1;

end if;

end if;

if bmark>tmp2 then

if bla="1001" then

bla:="0000";

bha:=bha+1;

tmp2:=tmp2+1;

tmp2:=tmp2+1; end if; end if; end if; al<=ala; bl<=bla; ah<=aha; bh<=bha; end process; end corn_arc;

仿真波形如下:

波形分析:

CLR 的低电平有效,其清零后,在CLK 上升沿有效的条件下,甲方先发球,则LED 灯显示十进制数80,即为二进制数10000000,乒乓球灯右移,波形图符合要求。

4.2分频模块

CLK 是时钟信号接时钟信号源,由于我们所用的实验板上使用的时钟信号的频率为50MHZ,为了使我们能清楚的看到LED 显示灯代表球的移动现象,需使用该分频模块将50MHZ 的频率转化为1HZ 的频率信号。

其VHDL 程序如下:

library ieee;

use ieee.std_logic_1164.all;

clk

q

f

en

inst11

entity fen is

port (clk:in std_logic;

q: out std_logic);

end fen;

architecture fen_arc of fen is

begin

process(clk)

variable cnt: integer range 0 to 24999999;

variable x: std_logic;

begin

if clk'event and clk = '1' then

if cnt<24999999 then

cnt:=cnt+1;

else

cnt:=0;

x:= not x;

end if;

end if;

q<=x;

end process;

end fen_arc;

4.3、消抖模块

在该课程设计中有五个地方都要用到按键,而在按键操作时,机械触点的弹性及电压突跳等原因,在触点闭合或开启的瞬间会出现电压抖动,实际应用中如果不进行处理将会造成误触发,因此需要一个消抖模块消除这种抖动现象.

其VHDL程序如下所示:library ieee;

use ieee.std_logic_1164.all; entity xiaodou is

port (din, clk: in std_logic;

dout : out std_logic);

end xiaodou; din

clk

dout

xiaodou inst12

architecture dou of xiaodou is signal x,y: std_logic; begin

process(clk) begin

if clk'event and clk='1' then x<=din; Y<=x; end if;

dout<=not(x and (not y)); end process; end dou;

仿真波形如下所示:

波形分析:

由上述波形图可以看出:当操作者按一次按键所输入的按键信号大于一个时钟信号周期的时间时,通过消抖模块输出的还只是一个周期的信号,即很好的消除了按键时间长带来的干扰。

4.4、译码模块

此模块为数码管控制模块,功能是控制七段数码管对转换后的数字量进行显示,使其完成甲方和乙方各自得分记录显示。其中D[3..0]接数据转换模块的输出端口,Q[6..0]连接七段扫描数码管的段输入a 、b 、c 、d 、e 、f 、g ,利用其控制特性在数码管上显示出参加乒乓球游戏的甲乙双方各自的得分。

其VHDL 程序如下: library ieee;

use ieee.std_logic_1164.all;

d[3..0]

q[6..0]

disp

inst11

entity disp is

port(d:in std_logic_vector(3 downto 0);

q:out std_logic_vector(6 downto 0));

end disp;

architecture disp_arc of disp is

begin

process(d)

begin

case d is

when"0000"=>q<="1000000";

when"0001"=>q<="1111001";

when"0010"=>q<="0100100";

when"0011"=>q<="0110000";

when"0100"=>q<="0011001";

when"0101"=>q<="0010010";

when"0110"=>q<="0000010";

when"0111"=>q<="1011000";

when"1000"=>q<="0000000";

when others=>q<="0010000";

end case;

end process;

end disp_arc;

仿真波形如下所示:

波形分析:

由上面仿真图可以看出,译码模块完成了对数据在数码管上正确地显示。波形符合要求。

第五章调试并分析结果

5.1输入说明

(注:系统仿真、综合、下载:

在建立了波形文件、输入信号节点、波形参数、加输入信号激励电平并存盘之后,选择主菜单“Quartus II”中的仿真器项“Simulator”,弹出对话框之后单击“Start”进行仿真运算,完成之后就可以看到时序波形。)

硬件实现:

通过对每一模块的仿真和下载,可以实现各个模块的逻辑功能,验证了各个模块的正确性。

将引脚分配到所用芯片:Cyclone II中的EP2C35F672C6。

按照管脚分配如图所示,对各管脚在实验箱上进行连接,clr为清零控制键,接按键,af接按键,aj接按键, bf接按键,bj接按键,clk接时钟信号源,shift[7..0]接八个LED显示灯,q[6..0]接数码管a、b、c、d、e、f、g并且用四个数码管分别表示AH[6..0],AL[6..0],BH[6..0],BL[6..0]以表示甲乙双方的比分。

在乒乓球游戏开始时,先按clr键对系统进行清零,然后甲或者乙就可以按发球键发球,在LED灯上显示球的行进路径,当过网后另一方就可以击球,数码管设置了两个记分牌,分别来记录甲乙的得分情况。

5.2预计输出

整体工作情况:

clk接时钟信号,其它端口接法如上所述,CORNA为核心模块,实现逻辑功能,并且对数据进行数制转换;FEN为分频模块,将50MHZ频率的时钟信号转化为1MHZ频率的时钟信号;XIAODOU为消抖模块,消除按键操作可能带来的干扰;DISP为译码模块,使得分在数码管上显示。

5.3测试结果记录

顶层设计仿真输出结果如图所示:

5.4测试结果分析

由上述仿真波形可以知道,rd是清零端,当低电平为有效,clk脉冲信号是控制LED灯的移动速度,当af给一个高电平时,过了四个脉冲,即说明甲方球已经过网,此时bj给一个高电平,说明正常接发球,数码管显示为0。仿真结果符合预期功能,且在实验板上LEDR[4]与LEDR[3]之间是网。

并且通过硬件实现知道整个电路完成了设计要求的任务。

第六章结论(最终实现哪些功能、未实现功能)

1、最终实现功能:

通过对每个模块的编译、仿真、下载完成,再到顶层设计的图形连接,编译、仿真、分配引脚、下载,通过引脚图来连接线,最终在实验箱上实现了设计要求的全部功能。即实现了模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分。

2、创新功能的实现:

(1)在实现课程设计要求的基础上,我又进行了部分创新,即可实现甲乙双方发球权在任意时刻的交换。

(2)双方的最高分数记录,不仅局限在11分或21分结束比赛,分数可在99分以内任意分数结束比赛,分数的高低直接根据清零端来控制。

3、未实现功能:

本想在完成的基础上再实现一些创新功能,就是能让双方当局比分在一方得分达到11分时结束比赛,计分的四个数码管能自动清零并且能再用两个数码管来显示双方比赛的局分,由于时间短暂,这块功能暂时还没有完全实现。

第七章心得体会

通过这次课程设计,我进一步熟练了对QuartysII软件的操作。在编写程序的过程中,遇到了很多问题,使我发现自己以前学习上存在的不足。通过与同学探讨和请教老师,终于把问题都解决了。同时也掌握了做课程设计的一般流程,为以后的设计积累了一定的经验。做课程设计时,先查阅相关知识,把原理吃透,确定一个大的设计方向,在按照这个方向分模块的把要实现的功能用流程图的形式展示。运用我们所学的VHDL语言进行编程。总之,通过这次的设计,进一步了解了EDA技术,收获很大,对软件编程、排错调试、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,并且对所学的数字电路理论知识进行了一次复习,同时能经所学理论运用到实际中。最后通过这次实验还让我深入的掌握了分频器,消抖器,通过数码管显示方面的原理及其应用。

参考文献

[1] 马彧。数字电路与系统实验教程。北京:邮电大学出版社,2008

[2] 史晓东。数字电路与逻辑设计。北京:邮电大学出版社,2008

[3] 武俊鹏,孟昭林,付小晶。数字电路与可编程技术实验教程。哈尔滨工程大学出版社,

2007

电子技术乒乓球比赛游戏机课程设计报告书

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的容是独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 1.1.2 课题的容和要求 独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体容如下:乒乓球比赛是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判),乒乓球比赛模拟机是用发光二极管(LED)模拟乒乓球运 乒乓球比赛模拟机框图 设计要求:

1、基本部分 (1) 至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从由到左移动,“球”的移动速度能由时钟电路调节。 (2) 当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。 (3) 设计自动记分电路,甲乙双方各用一位数码管显示得分,每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分,电路自动响铃3秒,此期间发球无效,等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 1.2 方案选择 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计:

乒乓球比赛游戏机设计报告

乒乓球比赛游戏机 姓名:___***____ 学号:___201114040215__ 班级: ___11表二____

一、设计题目及要求: 题目:乒乓球比赛游戏机。 要求:(1)设计一个甲乙双方参赛,裁判参与的乒乓球比赛游戏机用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮表示击球,若击中,则“球”向相反方向运动,若未击中,对方得一分。 (3)设置自动计分电路,双方各用两位数码管来显示计分,每局10分,到达10分时,产生报警信号,铃响三秒。 二、设计思路及总体方案 两人乒乓球游戏机是由发光二极管代替球的运动,并按照一定的规则进行对垒比赛。甲乙双方击球用开关表示。 当甲乙按动开关时,球向前运动,当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球。若未击中则表示对方得分,利用计数器连接成十进制计数器记分每局十分。当二者任意一方得分为十分时发生警信号,并且自动停止球的运动,三秒的报警后,自动停止。 图1:乒乓球比赛游戏结构框图

三、元器件选型及依据: 四、电路的设计: (1)总体设计思路如下: 1.用两个74LS194四位双向移位寄存器来模拟乒乓球台,其中第一个74LS194的DL输出端QD接第二个的右移串行输入端SR,这样当乒乓球往右准备移出第一个寄存器的时候就会在时钟脉冲的作用下被移入第二个寄存器。同样道理,第二个74LS194的QA输出端接第一个的左移串行输入端。 2.用JK触发器7473、继电器及逻辑门电路构成驱动控制电路。 3.用十进制计数器74LS160D、逻辑门电路和集成的4管脚的数码管组成计分电路。 4.用十进制计数器74LS160D改装3进制计数器、逻辑门电路和蜂鸣器组成3秒报警电路。 (2)单元电路设计: 2.1、球台电路设计: 球台电路设计如下图所示:

数电课程设计报告 乒乓球游戏设计

电子线路综合设计 乒乓球比赛模拟及计分器设计 2014年6月

摘要 在信息社会高速发展的今天,数字电路芯片已经实现高度集成化,并逐步渗透到医学、计算机等各个领域,对人类的生活有着深远的影响。本设计采用基本门电路以及74LS系列芯片的搭建,以multisim 12.0软件为平台进行仿真,实现了对乒乓球游戏的模拟。主要解决的问题有: (1)模拟乒乓球的轨迹:用双向移位4位寄存器74194以及基本门电路实现;(2)球速的调节:利用555电路实现; (3)球被击中、犯规的判断; (4)计数器的使用:采用74LS90和74LS161的组合,给玩家计分; (5)关于比分的显示:通过CD4511译码芯片将计数器的输出状态显示到2位共阴极数码管上。 关键词:双向移位4位寄存器、555电路、译码电路、计数器系统

目录 1 设计任务 (1) 2 电路整体设计 (2) 2.1 译码显示电路设计 (4) 2.2 555定时器组成脉冲发生器 (5) 2.3模拟乒乓球电路的设计 (6) 3 电路整体性能的检测 (7) 3.1 译码显示电路的检测 (7) 3.2 脉冲发生器电路的检测················································································· 3.3模拟乒乓球电路的检测··················································································4实验结论····················································································································5课程设计心得体会以及建议····················································································6 Abstract ······················································································································7附录(包含元器件清单以及各元器件功能表) ······················································8参考文献····················································································································

关于乒乓球比赛的作文300字(共六篇)_作文

关于乒乓球比赛的作文300字(共六篇) 1、乒乓球比赛 我们从李老师那儿得到一个振奋人心的好消息:我们班将举行一次乒乓球比赛。消息一传出,就有不少人踊跃报名。大家都特别期待比赛的到来。 比赛还没开始,赛场外就已人山人海。参赛选手陆陆续续进入赛场,比赛正式开始。 首先上场的是周承煜和陈仁杰。周承煜不费吹灰之力将陈仁杰击败。接着青云直上,连续击败好几名强敌。和贺绍斯交锋。 贺绍斯素有“国球先锋”之称,在他面前,周承煜是凶多吉少。贺绍斯得意洋洋地挥舞着球拍,周承煜则胸有成竹,巍然不动,俨然一副泰山崩于前而不动容,惊雷响其后而不改色的样子。 随着一声哨响,贺绍斯发出一个难度极高的转球,一副得意洋洋的样子。古人云:“从古骄兵多必败,从来轻敌少成功。”果然,周承煜往右一接,贺绍斯措手不及,没接住,1:0。旁边爆发出一阵欢呼。贺绍斯输了一个球,仍然不以为意。结果发了一个空心球,2:0。贺绍斯开始心慌了,结果又没有接住。3:0。周承煜获胜。老师给前三名发了奖品。 这是一次有趣的乒乓球比赛,同时我也懂得了一个道理:骄兵必败。 2、乒乓球比赛 “耶——”你一定会奇怪这声音是从哪里来的。告诉你吧,是我们的作文班,因为现在我们要举行一场别开生面的夹乒乓球

老师宣布了规则:必须一个一个地夹过去,掉下地继续,另外还不许用手触摸。“比赛开始。”裁判员——老师一声令下。第一场比赛开始了,观众们欢呼雀跃。丰凡杰最“杯具”,他夹了又掉,掉了又夹,可谓是“屡夹屡掉”,笑得我们上气不接下气。第二场,我大难临头,老师竟挑我上场,我心里像装了只小兔子似的,不停乱跳。我打第二棒,李涵快速地夹给了我,我用力太大,把乒乓球夹掉了。还好及时,我不管三七二十一在桌上滚给了王智辰,不想王智辰却犯规,我才轻松就又被大包裹压得喘不过气来。最终我们还是赢了。 第三场时,我则变成了观众。这组最让我期望。哎,可惜希望越大,失望越大。前面两位好好的,可到了班长那便掉下了地,我们在那幸灾乐祸,王智辰笑得直拍桌子。陈佳雯像只热锅上的蚂蚁——团团转,对乒乓球发起了猛烈的攻势,乒乓球招架不住,只好得乖乖投降了。 这场夹乒乓球比赛别开生面,让我们回味无穷。我希望以后还能举行这样的比赛。 3、乒乓球比赛 昨天,我看了一场中国对韩国的乒乓球比赛。因为这是女子半决赛,所以我全神贯注地看着。 首先,中国与韩国打了打,一下子打到4比5。郭跃开了球,韩国老手接了过来,郭跃一搓,韩国运动员一板打了过去,可惜打到网,比分变成4比6。韩国人开了一个不知上旋球还是下旋球,郭跃打了过去,哇,打高了,对方一扣,就扣死了,对方追回了

乒 乓 球 游 戏 机 设 计

Shaanxi University of Technology 通信工程专业课程设计Ⅱ 题目乒乓球游戏机设计 学生贾潇洒学号0713024056 所在院(系)陕西理工学院电信工程系 专业班级通信工程专业0 7 2 班 指导教师争兵 完成地点陕西理工学院电信工程系实验室 2010年 3 月 19 日

通信工程专业课程设计Ⅱ任务书 院(系) 电信工程系专业班级通信工程专业 072班学生贾潇洒 一、课程设计Ⅱ题目乒乓球游戏机设计 二、课程设计Ⅱ工作自 2010 年 3 月 1 日起至 2010 年 3 月 19 日止 三、课程设计Ⅱ进行地点: 电信工程系实验室 四、课程设计Ⅱ的容要求: [1]本课程设计的目的 本次课程设计为计算机类综合课程设计,通过计算机这个平台使我们将所学习的理论知识应用到实践中的一次尝试,同时也是对计算机辅助软件Max-pulsII的进一步熟悉。 [2]本课程设计的容 采用EDA技术---可采用VHDL或原理图输入法,设计一个乒乓球游戏电路,进行声光模拟,结果应有仿真波形、流程图、并下载在EDA开发板上,测试验证无误。 [3]本课程设计要实现的功能 用8个(或更多个)发光二极管排成一条直线代表乒乓球台,中间两个发光二极管兼做乒乓球网,两边各有两个开关控制双方的发球和接球,并能自动完成裁判、记分等功能。 [4]课程设计时间安排:3月1日-3月3日,熟悉容,方案论证; 3月4日-3月10日,编写程序、运行及仿真; 3月11日-3月12日,下载并验证其功能; 3月16 日,验收课程设计; 3月16日-3月19日,撰写、修改、提交课程设计报告。 指导教师系(教研室) 通信工程教研室接受任务开始执行日期 2010年3月1日学生签名

数电课程设计乒乓球比赛word文档

乒乓球比赛游戏机 一、设计任务与要求 1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计,采用EWB 电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 2 课题的内容和要求 设计一个甲、乙双方参赛,裁判参与的乒乓球比赛游戏模拟机。 基本要求: (1)用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球,若击中,则“球”向相反方向运动,若未击中,则对方得1分。(3)设置自动计分电路,双方各用二位数码管来显示计分,每局11分。到达11分时产生报警信号。 提高要求: (4)一方得分时,电路自动响铃3s,这期间发球无效,等铃声停止后方能继续比赛。 (5)设置局数显示,5局结束后有声响提示比赛结束。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。

2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 二、方案设计与论证 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计: 1. 球台电路:球迹移动电路可采用双向移位寄存器方法实现,由发光二极管作光点模拟乒乓球移动的轨迹。 2. 驱动控制电路:由双D触发器及逻辑门电路构成,通过此电路来控制并且实现球台灯的左右移位即实现乒乓球的运动。 3. 计分电路:使用十进制的计数器、逻辑门和集成的4管脚的数码管来组成计分电路。 通过多次设计、画图及仿真实验,我们发现方案电路最简洁,原理简单易懂,操作也很方便,且实用性较强。故采用此方案进行设计。 三、单元电路设计

基于FPGA的乒乓球游戏机设计.

摘要 在现代电子设计领域,微电子技术迅猛发展,无论是系统设计、电路设计,还是芯片设计,其设计的复杂度都在增加,传统的手工设计方法已经不能满足设计者的要求,急需新的设计工具来解决。EDA技术的迅速发展顺应了时代的发展,使得电子设计自动化技术有了新的、快的发展,其重要程度日益突出。本文设计了基于FPGA的,用Verilog HDL语言描述的乒乓球游戏机的设计。它由控制模块、数码管的片选信号模块、送数据模块和7段译码器模块组成,连接形成乒乓球游戏机的顶层电路。在QuartusⅡ软件上用Verilog HDL语言分别对每个模块进行描述,然后在软件上进行编译、仿真,最终实现乓乓球比赛的基本过程和规则,并能自动裁判和计分,达到设计的要求。 关键词:EDA ;FPGA ;Verilog HDL Abstract In the field of modern electronic design, the rapid development of microelectronic technology,whether the system design, circuit design and chip design, the design is more complex, the traditional manual design methods have been unable to meet the requirements of designers,in urgent need of new design tools to solve. The rapid development of EDA technology to the development of the times, the electronic design automation technology has been the development of new, fast, its importance is outstanding day by day. This paper is designed based on FPGA, the design of table tennis game is described with Verilog HDL language. It consists of control module, chip select signal module, digital tube to send data module and the 7 segment decoder modules, the top-level circuit connected to form a table tennis game. In the Quartus software by Verilog HDL language for each module are described, and then the compiler, simulation in software, realize the basic process and the rules of table tennis table tennis competition, and can automatically judge and score, to meet the design requirements. Keywords: EDA; FPGA; Verilog HDL

基于VHDL的乒乓球游戏机设计

1引言 EDA(Electronic Design Automation,电子设计自动化)技术是现代电子工程领域的一门新技术。它提供了基于计算机和信息技术的电路系统设计方法。EDA技术的发张和推广应用极大地推动了电子工业的发展。EDA技术就是以计算机为工具,在EDA软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件自动地完成逻辑编译,逻辑化简,逻辑分割,逻辑综合及优化,逻辑布局布线,逻辑仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式,即利用硬件描述语言来完成对系统硬件功能的描述,在EDA工具的帮助下就可以得到最后的设计结果[1]。近年来,集成电路制造技术的快速发展, 一方面促进了相应设计技术的发展, 另一方面也对设计技术提出了更高的要求。当前集成电路设计, 面临着功能强、性能好、规模大、成本低、设计周期短等一系列要求和挑战, 这些要求和挑战引起了集成电路设计方法的全面革新。当今, 以行为设计为主要标志的新一代数字系统设计理论已形成并得到发展。在集成电路的数字系统的系统级设计中, VHDL 硬件描述语言构造的描述模型优化设计, 有利于高效利用设计空间, 实现设计结构的精确分析, 使芯片资源得以充分利用。 1.1课题的背景、目的 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。而休闲时间越来越少的人们越来越没太多的时间出去搞户外活动,于是众多电子游戏相应而出,成为大家的娱乐节目。因此设计了这个两人的乒乓球游戏。而电子信息类产品的开发明显地出现了两个特点:一是开发产品的复杂程度加深;二是开发产品的上市时限紧迫。而伴随着如上两个特点的产生,相应的出现了设计上的两个问题。其一,在电子系统日趋数字化、复杂化和大规模集成化的今天,电子厂商们越加迫切地追求电子产品的高功能、优品质、低成本、微功耗和微小封装尺寸,从而使得电子设计日趋复杂。那么如何去完成这些高复杂度的电子设计呢?其二,电子产品设计周期短和上市快是电子厂商们坚持不懈的追求,那么面对日趋复杂的设计,又如何能够缩短开发时间呢?解决以上两个问题的唯一途径是电子设计自动化(EDA),即用计算机帮助设计人员完成繁琐的设计工作。

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A 或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;积分电路具有当A和B击球有效时加分和当游戏者的分数累计超过10分时报警通知裁判对系统进行初始化以便重新开始比赛积分的功能。 关键词:游戏机控制电路系统初始化

乒乓球比赛活动小结

乒乓球比赛活动小结 为了促进我校体育文化建设和学生思想道德建设,进一步提高我校学生的乒乓球技术和健康心理素质。展示我校学生的体育良好风貌,推进素质教育。我校举行了3--6年级男女乒乓球对抗赛。 对于本次活动,学校领导、班主任及各科任给予了极高的重视。同学们报名参赛热情空前高涨,此次乒乓球比赛为同学们提供了一个展示自己乒乓球技术的舞台。 比赛过程中队员们以饱满的热情和高度的积极性全身心的投入到比赛当中,并且充分发扬了敢打敢拼的拼搏进取精神,各中队还组织了拉拉队前去助威,每当队员们打出一个精彩的扣杀时,掌声、喝彩声响彻整个赛场!这次的比赛不仅是同学之间技术的较量,还是他们心灵和言语的沟通。这次比赛我们看到了同学们积极向上,努力进取,顽强拼搏的精神,更感受到了同学们高度的集体荣誉感和追求全面发展的上进心。比赛在充满了汗水、掌声和欢笑中落下帷幕,我们也在这次活动中获得了许多宝贵的经验。从整体上来看这次活动是成功的。 这次乒乓球赛办的很成功,不仅结果让人满意、欣慰,更体现出了体育教师很强的组织、管理能力。场上队员精神抖擞,场外观众掌声一片,欢呼声不断。整个比赛在欢乐和谐的气氛中圆满结束。此次比赛,同学们都能以饱满的热情和高涨的积极性全身心的投入到比赛当中去,并且充分发扬了拼搏进取精神。同时也暴露出由于时间短、场次之间连贯紧密,致使参赛队员体力不足,影响了比赛成绩;平时练习少,个人基础差距较大等问题,以后应加强锻炼和提高。 这次比赛充分展示了学生比较高超的竞技水平,在丰富课堂知识的同时,锻炼和强健了体魄。活动给在紧张学习中的同学们增添了乐趣和精彩。通过本次比赛,我们不仅发掘出了一些有潜力的乒乓球后备队员,同时也看到了学生的积极向上、努力进娶顽强拼搏的精神风貌。 活动目的:为全面提高小学生综合素质,丰富校园生活,弘扬“团结合作,勇于创新,拼搏进取,为国争光”的国球精神,进一步提高我校学生乒乓球的技术水平,促进各班之间的相互交流,我校拟定举行乒乓球交流赛。 活动意义:本次活动取得了圆满成功,并增进了各专业同学之间的友谊,为大家提供了一个交流与沟通的平台,达到了预期的效果。

乒乓球比赛游戏机设计

目录 1 引言 0 1.1设计背景 0 1.2VHDL简介 0 1.3Q UARTUSⅡ简介 (1) 2 乒乓球比赛游戏机的设计 (1) 2.1系统设计要求 (1) 2.2设计思路 (2) 3 乒乓球比赛游戏机的实现 (4) 3.1乒乓球比赛游戏机的顶层原理图 (4) 3.2系统各功能模块的实现 (5) 3.2.1 比赛控制模块 (5) 3.2.2 记分模块 (5) 3.2.3 数码管显示模块 (6) 4 各个模块的仿真以及系统仿真、分析 (7) 4.1比赛控制模块仿真波形 (7) 4.2记分模块仿真波形图 (8) 4.3管脚锁定 (9) 4.4系统的波形仿真 (9) 4.5显示结果的几种情况 (12) 5 总结 (13) 5.1设计制作过程中遇到的问题及解决方案 (13) 5.2本设计有以下几个可以改进的地方 (13) 参考文献................................................................................................................... 错误!未定义书签。附录 (13) 游戏控制模块的VHDL程序 (13) 记分模块的VHDL程序 (16) 动态扫描模块的VHDL程序 (17) 译码器模块的VHDL程序 (18)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

EDA课程设计--乒乓球游戏机

EDA课程设计一乒乓球游戏机

EDA技术课程设计课题:乒乓球比赛游戏机 系别:电气与电子工程系 专业: _______ 姓名: __________________________ 学号: __________________________ 指导教师: _______________________

河南城班学院 2012年6月日 成绩评定? 一、指导教师评语(根据学生设计报告质量、答辩情况及其平时表现综合评定)。

录 一、设计目的 (2) 二、设计要求 (6) 三、总体设计方案要求 (4) 1、设计的总体原理 (4) 2、设计内容 (6) 四、EDA设计与仿真 (6) 1、系统程序设计 (6) 2、系统程序各功能介绍 (12) 3、仿真结果及数据分析 (13) 五、硬件实现 (14) 1、硬件实现步骤 (14) 2、硬件实现结果 (66) 六、设计总结 (20) 七、参考文献 (21) 八、设计生成的电路总图 (21)

一、设计目的 通过对FPGA (现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD (可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实 际工程技术问题的能力、査阅图书资料和各种工具书的能力、工程绘图能力、撰写技术 报告和编制技术资料的能力,受到一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力, 使学生积累实际EDA编程。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 二、设计要求 1、设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。 2、用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右, 或从右到 左,其移动的速度应能调节。 3、当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断 地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

电子技术乒乓球比赛游戏机课程设计模板

电子技术乒乓球比赛游戏机课程设计

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。经过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作, 也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途, 并将理论与实践相结合。 1.1.2 课题的内容和要求 独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体内容如下: 乒乓球比赛是由甲乙双方参赛, 加上 二极管

设计要求: 1、基本部分 (1) 至少用8个LED排成直线, 以中点为界, 两边各代表参赛双方的位置, 其中一个点亮的LED( 乒乓球) 依次从左到右, 或从由到左移动, ”球”的移动速度能由时钟电路调节。 (2) 当球( 被点亮的那只LED) 移动到某方的最后一位时, 参赛者应该果断按下自己的按扭使”球”转向, 即表示启动球拍击中, 若行动迟缓或超前, 表示未击中或违规, 则对方得一分。 (3) 设计自动记分电路, 甲乙双方各用一位数码管显示得分, 每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权, 每得5分自动交换发球权, 拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分, 电路自动响铃3秒, 此期间发球无效, 等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图, 以说明乒乓球比赛游戏机由哪些相对独立

乒乓球游戏机--EDA课程设计--完整版本

目录 1 设计目的 (1) 2 设计要求和任务 (1) 2.1设计任务 (1) 2.2设计要求 (1) 3 总体设计思路及原理描述 (1) 4 分层次方案设计及代码描述 (2) 4.1控制模块的设计 (2) 4.1.1 cornal模块分析 (2) 4.1.2 cornal模块VHDL程序描述 (3) 4.2送数据模块的设计 (7) 4.2.1 ch41a模块分析 (7) 4.2.2 ch41a模块VHDL程序描述 (7) 4.3产生数码管片选信号模块的设计 (8) 4.3.1 sel模块分析 (8) 4.3.2 sel模块VHDL程序描述 (9) 4.4 七段译码器模块的设计 (10) 4.4.1 disp模块分析 (10) 4.4.2 disp模块VHDL程序描述 (10) 4.5 顶层原理图设计 (11) 5 各模块的时序仿真图 (12) 6 总结 (14) 7 参考文献 (14)

乒乓游戏机 1 设计目的 掌握熟悉的使用Quartus II 9.1软件的原理图绘制,程序的编写,编译以及仿真。体会使用EDA综合过程中电路设计方法和设计思路的不同。掌握使用EDA工具设计乒乓游戏机的的设计思路和设计方法。 2 设计要求和任务 2.1设计任务 设计一个乒乓球游戏机,该机模拟乒乓球比赛的基本过程和规则,并能自动裁判和几分。 2.2设计要求 (1)甲乙双方各在不同的位置发球或击球。 (2)乒乓球的位置和移动方向由灯亮及依次点亮的方向决定,球移动的速度为0.1-0.5秒移动一位。 (3)11分为一局,甲乙双方都应设置各自的几分牌,任何一方先记满11分,该方胜出。当记分牌清零后,重新开始。 3 总体设计思路及原理描述 由乒乓游戏机功能,用原理图作为顶层模块,再将底层划分成四个小模块来实现:(1)cornal模块:整个程序的核心,它实现了整个系统的全部逻辑功能; (2)ch41a模块:在数码的片选信号时,送出相应的数据; (3)sel模块:产生数码管的片选信号; (4)disp模块:7段译码器。

基于verilog 乒乓球游戏机课程设计报告

长沙学院EDA课程设计说明书 题目乒乓球游戏电路设计 系 (部) 专业(班级) 姓名 学号 指导教师 起止日期

2008电子信息工程专业课程设计任务书 系(部):电子与通信工程系专业:电子信息工程学生姓名王志福指导教师 谢明华、马凌云、刘辉、龙英课题名称乒乓球游戏电路设计 设 计要求及设计参数 设计一个乒乓球游戏电路,在游戏中,分A方及B 方,以8位LED灯指示乒乓球的行进路径;允许一个LED灯亮,亮的时间为0.5秒,表示乒乓球所在位置,A、B方各持一按键进行接发球操作,当乒乓球移动到最靠近己端,即最靠近己方的数码管发光期间,及时按下了按键,则接发球成功,提前按下或按下不及时则接、发球失败,对方得1分。系统复位时,A方发球;比赛采用得分方发球规则;要求使用数码管显示比赛双方的代号及得分,如A28-B9表示A得分为28分,B得分9分。比赛采用21分制。比赛结束时8位LED灯同时闪烁5秒。 设计工作量两周:1、查找资料,根据要求的格式并结合所查资料写出理论设计方案的全过程,实验操作的步骤、数据以及结论。 2、学习使用Quartus II软件,利用Quartus II设计并进行仿真。 3、将程序下载到实验箱,进行实际运行修正。 进度安排 起止日期工作内容备注6月7日—6月10日 理论设计:网络、图书馆查找资料 软件应用:学习Quartus II软件的操作并完成 电路设计并进行仿真。 6月14日—6月15日将完成的程序下载至实验箱,进行实物运行。 6月16日准备资料,完成课程设计答辩 6月17日整理书面材料,完成课程设计说明书

主 要参考资料 参考文献 [1] 周润景 图雅 张丽敏 .基于Quartus Ⅱ的FPGA/CPLD 数字系统设计实例.北京:电子工业出版社,2007 [2] 杜建国.V erilog HDL 硬件描述语言.北京:国防工业出版社,2004 [3] 林灶生 .V erilog FPGA 芯片设计.北京:北京航空航天大学出版社,2006 指导教师签名 年 月 日 系(部)主管领导意见 年 月 日 答辩评委老师 年 月 日 教研室 意见 年 月 日

【精品】乒乓球比赛的作文300字集锦八篇

【精品】乒乓球比赛的作文300字集锦八篇 乒乓球比赛的作文300字篇1 七月一日我正式加入乒乓球训练班了,真高兴! 第一天,教练教我们练习基本姿势:右脚在前,左脚在后,小臂与手腕,乒乓球拍尽量成一条直线,成一个整体挥动球拍。就这样一早晨练了1000个姿势,真难。平时打球自我感觉还不错,没想到正式训练还真不是那么回事哦。下课后,教练还让回家再练习500个。天啊,还真不容易。 哈!今天教练看我姿势练习的还不错,让我学着接球。接球的时候要按着练习的姿势,球怎么也不听我使唤。心急,可越急越接不着球,甚至姿势也会变形了。这时教练鼓励我说:才练习,就能接上几个球很不错了,不要着急。是啊,书上也讲过:冰冻三尺非一日之寒!慢慢我静下心来,我要好好坚持训练,一定能行。 第六天了,今天终于可以和教练对接球了。我真是太高兴了!老师还说我和孙晨是速成班的呢。经过这几天的训练,我知道了:无论学习任何技能一定要从基本功练起,并要有持之以恒,坚持不懈的精神。这个暑假我一定会坚持好好练习乒乓球的。 乒乓球比赛的作文300字篇2 星期六,我和爸爸、妈妈举行了一场乒乓球比赛。 我和爸爸、妈妈来到乒乓球台旁边,便开始了比赛。我和爸爸的球艺都在妈妈之上,果然我俩都打赢了我的妈妈,下面就该我和爸爸

争第一了。 我和爸爸拿起球拍,先热了热身,比赛开始了,爸爸先发球,他一上来就来了个低球,我看准时机,把球打了回去,爸爸不知我耍什么花样,于是就在那儿守着,球过去了,给了爸爸一个擦边球,爸爸不知道我会使这招,来不及防守,接下来,我连连得手,于是爸爸输掉了这一回合。第二局,我们打的非常激烈,经过很长一段时间,我们打成了12平。不过,发球权在爸爸的手里,爸爸连赢两球,赢了这一局。第三局开始了,爸爸先发球,他来了个斜球,我迅速跑过去,把球还了回去。爸爸看准用绝招的时机,打起了个旋球,我不知道球往那儿跑,输掉了这一局。最终,爸爸赢了我,又坐上了冠军的宝座。爸爸对我说:“要想赢,就要有自己的绝招,要注意观察对方的弱点,出奇制胜。”从此以后,我把这句话牢牢地记在心中。 虽然我输了,但是我学到了旋球。 乒乓球比赛的作文300字篇3 “耶——”你一定会奇怪这声音是从哪里来的,作文夹乒乓球比赛。告诉你吧,是我们的作文班,因为现在我们要举行一场别开生面的夹乒乓球比赛。 老师宣布了规则:必须一个一个地夹过去,掉下地继续,另外还不许用手触摸,“比赛开始。”裁判员——老师一声令下。第一场比赛开始了,观众们欢呼雀跃。丰凡杰最“杯具”,他夹了又掉,掉了又夹,可谓是“屡夹屡掉”,笑得我们上气不接下气。第二场,我大难临头,老师竟挑我上场,我心里像装了只小兔子似的,不停乱跳。

乒乓球比赛游戏机设计总结报告

现代电子与系统设计总结报告 项目名称:乒乓球比赛游戏机 班级:物科院1005 姓名:周** 沈** 学号:071005** 071005** 指导老师:倪** 提交日期:2012/12/23

封面 (1) 一、设计要求 (3) 二、设计的具体实现 (3) 1、系统框图 (3) 2、甲乙方得分显示模块 (4) 3、加减计数模块 (6) 4、译码模块 (8) 5、控制模块 (9) 6、核心问题 (12)

三、结果分析 (15) 四、附件 (16) 1、完整电路图 (16) 2、各个自制元件的VHDL程序 (16) 一、设计要求 设计一个乒乓球比赛游戏机 (1)设计一个由甲乙双方参加,有裁判的三人乒乓球游戏机; (2)用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,期中一只点亮的LED指示球的当前位置,点亮的LED依次从左到右,或从右到左,其移动的速度应能调节; (3)当“球”(点亮的那支LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球,若击中则球向相反方向移动,若未击中,球掉出桌外,则对方得一分; (4)设计自动计分电路,甲乙双方各用两位数码管进行计分显示,每记满11分为1局;(5)甲乙双方各设一个发光二极管表示拥有发球权,每隔2次自动交换发球权,拥有发球权的一方发球才有效;

(6)其他。 二、设计的具体实现 1、系统框图 此系统框图分为控制模块,加/减计数模块,译码显示模块和甲乙方得分显示模块。 2、甲乙方得分显示模块 甲乙双方各用两位数码管进行计分显示,通过控制模块加以控制。 甲乙得分的计数: 图形: VHDL语言: LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;

乒乓球比赛游戏机设计

目录 1 引言 (1) 1.1设计背景 (1) 1.2VHDL简介 (1) 1.3Q UARTUSⅡ简介 (3) 2 乒乓球比赛游戏机的设计 (3) 2.1系统设计要求 (3) 2.2设计思路 (4) 3 乒乓球比赛游戏机的实现 (6) 3.1乒乓球比赛游戏机的顶层原理图 (6) 3.2系统各功能模块的实现 (6) 3.2.1 比赛控制模块 (6) 3.2.2 记分模块 (7) 3.2.3 数码管显示模块 (8) 4 各个模块的仿真以及系统仿真、分析 (9) 4.1比赛控制模块仿真波形 (9) 4.2记分模块仿真波形图 (9) 4.3管脚锁定 (10) 4.4系统的波形仿真 (11) 4.5显示结果的几种情况 (14) 5 总结 (15) 5.1设计制作过程中遇到的问题及解决方案 (15) 5.2本设计有以下几个可以改进的地方 (15) 参考文献 (16) 附录 (17) 游戏控制模块的VHDL程序 (17) 记分模块的VHDL程序 (19) 动态扫描模块的VHDL程序 (20) 译码器模块的VHDL程序 (21)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

相关主题