搜档网
当前位置:搜档网 › 新建 8x8点阵显示课程设计

新建 8x8点阵显示课程设计

新建 8x8点阵显示课程设计
新建 8x8点阵显示课程设计

目录

第一章系统基本原理及功能要求 (3)

1.1 系统基本原理 (3)

1.2 设计思想 (3)

1.3 设计任务及目的 (4)

1.4设计方框图 (4)

第二章硬件设计 (6)

2.1 单片机最小系统 (6)

2.1.1 AT89S51基本参数 (6)

2.1.2 复位电路 (8)

2.1.3 晶振电路 (9)

2.2 开关调整单元 (10)

2.3 LED点阵显示单元 (11)

2.4 LED点阵驱动单元 (12)

第三章软件设计 (14)

3.1 循环右移程序设计 (14)

3.2 点阵显示程序设计 (14)

3.3 按键检测程序设计 (16)

3.4 按键消抖及键盘程序设计 (17)

3.5 主程序设计流程图 (17)

3.6 全部程序 (18)

第四章仿真及调试 (19)

4.1 元器件列表 (20)

4.2 Keil 介绍与它的使用 (20)

第五章体会与小结 (22)

附录I电路仿真图 (23)

附录II 总程序 (24)

相关参考文献 (32)

第一章系统的基本原理及功能要求

1.1 系统的基本原理

MCS-51单片机作为主控芯片,控制整个电路的运行。其外围电路主要有两部分:复位电路和晶体振荡器。

复位电路的功能是:系统上电时提供复位信号,直至系统电源稳定后,撤消复位信号。为可靠起见,电源稳定后还要经一定的延时才撤销复位信号,以防电源开关或电源插头分-合过程中引起的抖动而影响复位。该设计采用含有二极管的复位电路,复位电路可以有效的解决电源毛刺和电源缓慢下降(电池电压不足)等引起的问题,在电源电压瞬间下降时可以使电容迅速放电,一定宽度的电源毛刺也可令系统可靠复位。

晶体振荡电路:MCS-51单片机中有一个用于构成内部振荡器的高增益反相放大器,引脚XTAL1和XTAL2分别为该反向放大器的输入端和输出端。这个反向放大器与作为反馈元件的片外石英晶体或陶瓷谐振器一起构成自激振荡器。外接石英晶体(或陶瓷谐振器)及电容C1、C2接在放大器的反馈回路中构成并联振荡电路。对外接电容C1、C2虽然没有十分严格的要求,但电容容量的大小会轻微影响振荡频率的高低、振荡器工作的稳定性、起振的难易程度及温度稳定性。如果使用石英晶体,电容应该使用30pF 10pF。

1.2 设计思想

点阵内部结构及外形如下,8X8点阵共由64个发光二极管组成,且每个发光二极管是放置在行线和列线的交叉点上,当对应的某一行置1电平,某一列置0电平,则相应的二极管就亮;如要将第一个点点亮,则9脚接高电平13脚接低电平,则第一个点就亮了;如果要将第一行点亮,则第9脚要接高电平,而(13、3、4、10、6、11、15、16)这些引脚接低电平,那么第一行就会点亮;如要将第一列点亮,则第13脚接低电平,而(9、14、8、12、1、7、2、5)接高电平,

那么第一列就会点亮。

LED驱动显示采用动态扫描方法,动态扫描方式是逐行轮流点亮,这样扫描驱动电路就可以实现多行的同名列共用一套列驱动器。以8*8点阵为例,把所有同一行的发光管的阴极连在一起,把所有同一列的发光管的阳极连在一起(共阴的接法),先送出对应第1列发光管亮灭的数据并锁存,然后选通第1列使其燃亮一定的时间,然后熄灭;再送出第2列的数据并锁存,然后选通第2列使其燃亮相同的时间,然后熄灭;….第8列之后,又重新燃亮第1列,反复轮回。当这样轮回的速度足够快(每秒24次以上),由于人眼的视觉暂留现象,就能看到显示屏上稳定的图形。该方法能驱动较多的LED,控制方式较灵活,而且节省单片机的资源。

LED点阵显示模块进行的方法有两种:

1)水平方向(X方向)扫描,即逐列扫描的方式(简称列扫描方式):此时用一个P口输出列码决定哪一列能亮(相当于位码),用另一个P口输出行码(列数据),决定该列上哪个LED亮(相当于段码)。能亮的列从左到右扫描完8列(相当于位码循环移动8次)即显示出一个完整的图像。

2)竖直方向(Y方向)扫描,即逐行扫描方式(简称行扫描方式):此时用一个P口输出决定哪一行能亮(相当于位码),另一个P口输出列码(行数据,行数据为将列数据的点阵旋转90度的数据)决定该行上哪些LED灯亮(相当于段码)。能亮的行从上向下扫描完8行(相当于位码循环移位8次)即显示一帧完整的图像。

1.3设计任务及目的

采用MCS51作为主控芯片,采用6个8*8点阵LED,显示左右滚动图形,数字或汉子。采用AT89S51单片机作为整个控制搭电路的核心,并编制软件程序,实现动态轮流显示。通过此设计来巩固单片机硬件系统的设计及软件系统的编程,通过设计将平时所学知识付诸实践,提高动手能力。

1.4设计方框图

如图1.1设计方框图与仿真电路图,单片机主芯片89S51的P0口和锁存器74LS373相连,而锁存器的另一端与显示屏的一边八个引脚相连,显示屏的另八

个引脚与总线连接又与编译器74LS138相连,系统采用行列扫描显示法,即单片机控制行驱动器和列驱动器来实现控制LED两端的电压,控制LED的点亮和熄灭。

图1.1设计方框图

第二章硬件设计

2.1 单片机最小系统

2.1.1 AT89S51的基本参数

引脚功能说明

·Vcc:电源电压

·GND:地

·P0口:P0口是一组8位漏极开路型双向I/0口,也即地址/数据总线复用口。作为输出口用时,每位能驱动8个TTL逻辑门电路,对端口写“l”可作为高阻抗输入端用。

在访问外部数据存储器或程序存储器时,这组口线分时转换地址(低8位)和数据总线复用,在访问期间激活内部上拉电阻。在F1ash编程时,P0口接收指令字节,而在程序校验时,输出指令字节,校验时,要求外接上拉电阻。

·P1口:Pl 是一个带内部上拉电阻的8位双向I/O口,Pl的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对端口写“l”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。作输入口使用时,因为内部存在上拉电阻,某

个引脚被外部信号拉低时会输出一个电流(IIL)。

Flash编程和程序校验期间,Pl接收低8位地址。

端口引脚第二功能

P1.5 MOSI(用于ISP犏程)

P1.6 MISO(用于ISP犏程)

P1.7 SCK (用于ISP犏程)

·P2 口:P2 是一个带有内部上拉电阻的8 位双向I/O 口,P2 的输出缓冲级可驱动(吸收或输出电流)4 个TTL逻辑门电路。对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口,作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。

Flash编程或校验时,P2亦接收高位地址和其它控制信号。

·P3 口:P3 口是一组带有内部上拉电阻的8 位双向I/0 口。P3 口输出缓冲级可驱动(吸收或输出电流)4 个TTL逻辑门电路。对P3口写入“l”时,它们被内部上拉电阻拉高并可作为输入端口。作输入端时,被外部拉低的P3口将用上拉电阻输出电流(IIL)。

P3口除了作为一般的I/0口线外,更重要的用途是它的第二功能,如下表所示:P3口还接收一些用于Flash闪速存储器编程和程序校验的控制信号。

端口引脚第二功能

P3.0 RXD(串行输入口)

P3.1 TXD(串行输出口)

P3.2 INT0(外中断0)

P3.3 INT1(外中断1)

P3.4 T0(定时/计数器0外部输入)

P3.5 T1(定时/计数器1外部输入)

P3.6 WR(外部数据存储器写选通)

P3.7 RD(外部数据存储器读选通)

·RST:复位输入。当振荡器工作时,RST引脚出现两个机器周期以上高电平将使单片机复位。WDT 溢出将使该引脚输出高电平,设置SFR AUXR的DISRT0 位(地址8EH)可打开或关闭该功能。DISRT0位缺省为RESET输出高电平打开状态。·ALE/PROG:当访问外部程序存储器或数据存储器时,ALE(地址锁存允许)输

出脉冲用于锁存地址的低8位字

节。即使不访问外部存储器,ALE 仍以时钟振荡频率的1/6 输出固定的正脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳过一个ALE脉冲。

对F1ash存储器编程期间,该引脚还用于输入编程脉冲(PROG)。

如有必要,可通过对特殊功能寄存器(SFR)区中的8EH 单元的D0 位置位,可禁止ALE 操作。该位置位后,只有一条M0VX和M0VC指令ALE才会被激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ALE无效。

·PSEN:程序储存允许(PSEN)输出是外部程序存储器的读选通信号,当AT89S51 由外部程序存储器取指令(或

数据)时,每个机器周期两次PSEN有效,即输出两个脉冲。当访问外部数据存储器,没有两次有效的PSEN信号。

·EA/VPP:外部访问允许。欲使CPU仅访问外部程序存储器(地址为0000H-FFFFH),EA端必须保持低电平(接

地)。需注意的是:如果加密位LB1被编程,复位时内部会锁存EA端状态。

如EA端为高电平(接Vcc端),CPU则执行内部程序存储器中的指令。

F1ash存储器编程时,该引脚加上+12V的编程电压Vpp。

·XTALl:振荡器反相放大器及内部时钟发生器的输入端。

·XTAL2:振荡器反相放大器的输出端。

2.1.2 复位电路

单片机有多种复位方式,常用的复位操作有上电复位和手动复位方式。

复位电路工作原理:

上电瞬间RST引脚的电位与VCC等电位,RST引脚为高电平,随着电容C5充电电流的减少,RST引脚的电位不断下降,可以保持RST引脚在为高电平的时间内完成复位操作。

上电复位:上电复位电路是—种简单的复位电路,只要在RST复位引脚接一个电容到VCC,接一个电阻到地就可以了。上电复位是指在给系统上电时,复位电路通过电容加到RST复位引脚一个短暂的高电平信号,这个复位信号随着VCC 对电容的充电过程而回落,所以RST引脚复位的高电平维持时间取决于电容的充

电时间。为了保证系统安全可靠的复位,RST引脚的高电平信号必须维持足够长的时间。

电路图如下:

图2.1 复位电路图

2.1.3晶振电路

AT89S51单片机芯片内部设有一个由反向放大器构成的振荡器,XTAL1和XTAL2分别为振荡电路的的输入端和输出端,时钟可有内部或外部生成,在XTAL1和XTAL2引脚上外接定时元件,内部振荡电路就会产生自激振荡。系统采用的定时元件为石英晶体和电容组成的并联谐振回路。晶振频率fosc采用12MHZ,C1、C2的电容值取30pF,电容的大小起频率微调的作用。晶振电路图如图所示。

图2.2晶振电路图

2.2 开关调整单元

SW1接P2.6口,SW2接P2.5口,SW3接P2.4口,SW4接P2.3口。

图2.3键盘连接图

A(1)单片机上电后,若无按键开关闭合,无任何显示,按下SW4,暂时无反应;

(2)当开关SW1按下时,则由左到右显示“1”;

(3)若按下开关SW2时,则由左到右显示字母“Z”;

(4)若开关SW3按下时,则由左到右显示数字“4”;

(5)若开关SW4没按下时,虽然有显示,但不会左右滚动。

B这里存在按键抖动,可以采用硬件去抖,也可以采用软件去抖,不过硬件去抖所需的元器件较多,所占的电路面积较大,增加了电路的成本和复杂度,所以采用软件消抖。

2.3 LED点阵显示单元

本设计采用ATMEL公司的AT89C51作矩阵显示控制系统控制核心,12MHZ晶振,8 8点阵共阳LED显示器。其中,P0口作为字符数据输出口,P2口为字符显示扫描输出口,第31脚(EA)接电源,P1.7开关S1,电阻,驱动用9012三极管。

本设计LED矩阵显示器电路选用8×8点阵模块,系统由单片机控制。LED 显示屏是将发光二极管按行按列布置的,在扫描驱动方式下可以按行扫描按列控制,也可以按列扫描按行控制。本文就是使用1块8×8点阵,采用按列扫描按行控制控制方式,扫描顺序自左向右,以满足字符显示的要求。8×8点阵LED 结构如图2.4所示。

图2.4 LED数码显示管

8×8 点阵LED的工作原理。图2.4为8×8点阵LED外观及引脚图,其等效电路如图2.5所示,只要其对应的X、Y轴顺向偏压,即可使LED发亮。例如如果想使左上角LED点亮,则Y0=1,X0=0即可。应用时限流电阻可以放在X轴或Y轴。

图2.5 8×8点阵LED外观及焊接面引脚图

图2.6 8×8点阵LED等效电路

一个8×8点阵是由64个发光二极管按规律组成的,如图2.6所示。图中,行接低电平,列接高电平,发光二极管导通发光。

2.4 LED点阵驱动单元

正向点亮一颗LED,至少也要10~20mA,若电流不够大,则LED不够大。而不管是AT89S51的I/O口,还是TTL、CMOS的输出端,其高态输出电流都不是很高,不过1~2mA而已。因此很难直接高态驱动LED,这时候就需要额外的驱动电路,通常有共阳型与共阴型LED阵列驱动电路,本设计才用共阳型高态扫描信号驱动电路。

单片机的P0口每个引脚能提供5mA的拉电流,20mA的灌电流,其余口每个引脚仅能提供5mA的灌电流,这样,LED的行和列都需增加驱动电路。若选用共阳型LED,当一行(或一列)的8个LED全部点亮时,若每只LED有10mA 电流流过,则共阳端将有80mA的电流流过,这样,可设计成P2口的引脚加驱动电路后接共阳端,每只LED的10mA电流灌如P0口的引脚,减少了行(或列)驱动电路。本次设计选用的8×8LED是共阳型,通过P2口接74LS373进行行驱动,电路如图2.7所示

单片机的串口与行驱动器相连,用来发送显示数据信息。P0口与LED阵列的行引脚相连,送出数据、地址以及系统控制信号。输出低态时,最大可吸取0.5A,即500mA,若每个LED取30mA,8个LED同时点亮,需要240mA,完全满足LED点亮的基本条件。

驱动图:

图2.7 LED驱动图电路

第3章系统软件设计

利用数组将各数字字符的编码存放在寄存器中,在执行显示过程中从寄存器中将对应数字或字符编码的数组一一轮流调出即可实现动态。各种子程序如下:

3.1循环右移程序的设计

循环右移程序如下:

char right(unsigned char temp)

{

unsigned char x[8]; //循环右移

char i,a;

a=0;

x[7]=temp&0x01; //右移一位后取每个位x[0]=(temp&0x02)>>1;

x[1]=(temp&0x04)>>2;

x[2]=(temp&0x08)>>3;

x[3]=(temp&0x10)>>4;

x[4]=(temp&0x20)>>5;

x[5]=(temp&0x40)>>6;

x[6]=(temp&0x80)>>7;

for(i=0;i<8;i++) //位组合成一个字节

{

a=a+(x[i]<

}

return a;

}

3.2点阵显示程序设计

如以下程序,是显示数字“1”的右移滚动,利用if条件,也可以很快的切换到其他显示的内容。

void dis(int x,char z,unsigned char y) //1:r;2:L;3:U;4:D; x 显示的数字,z滚动方向,y滚动次数

{

char ii=0;

char i=0;

P1_7=1;

if(x==1)

{

{

p0=0x10; //数字1显示对应的列

if(z==1) //若向右滚动,显示列依次右移

{

for(i=0;i

p0=right(p0);

}

if(temp_temp>6)

temp_temp=1;

p2=temp_temp;

if(z==3) //向右滚动

{

if(y=0){

if(p2

p2=p2+9-y;

else

p2=p2-1;

}

}

Dis(); //显示函数,I/O口给出具体电平

temp_temp++;

}

....... }

3.3检测按键程序设计

如下面程序,它是检测按键是否按下来控制具体显示的内容,当按键按下,执行相应的显示程序和循环程序,则可观察到显示屏的滚动现象,若按键没有按下,系统就不会正常显示工作,达不到预期效果。

int main(void)

{

unsigned int i,aaa;

char j;

unsigned int xx;

i=0;

while(1) //循环显示

{

if(P2_6==0) //检测按键,若按下,显示1

{

if(P2_3==0) //检测按键,若按下,开始滚动

{

for(j=0;j<8;j++) //依次滚动8次

{

if(P2_3==1)

{dis(1,1,j);

break; //若滚动按键未按下,停止滚动

}

if((j==0)||(j==1)||(j==2))

{

xx=600;

}

else

xx=168;

for(i=0;i

{

dis(1,1,j); //显示多次,用人眼视觉暂停,产生静态效果

}

P1_7=0; //清屏,关闭显示

}

....... }

3.4按消抖及键盘程序

键盘在按下和松开的过程中都会产生抖动现象,一般解决此种问题有两种:一种是软件消抖;另一种是软件消抖。由于硬件消抖较复杂(增加电路的复杂性与成本),通常采用程序来消抖。

抖动产生原因:在按键按下或松开时,会产生10~20毫秒的抖动,如图3.1示。因此当按键在操作时,通过执行10~20毫秒的延时程序即可。当按键被按下的瞬间,程序将执行delay函数,这个函数就是一个延时子程序。

图3.1 按键抖动图

理想波形

实际波形

10ms 10ms

void delay(uint z) //延时子程序

{

uint x,y;

for(x=z;x>0;x--)

for(y=10;y>0;y--);

}

.................................

这里设置按键及操作状态是:

1:当按下按键时,单片机检测到第一个低电平信号,随即调用delay函数以延时20毫秒左右。

2:delay函数结束后,若手没有放开,开关为低电平,此时程序进入while(1)的死循环,程序便停留在此处;若手放开了,则程序进入松手检测,单片机检测到开关为高电平,则执行下一步程序,否则一直停留在死循环里。

3.5主程序设计流程图

开始

显示“1”(或“Z”或“4”)

NO

按键SW1(或SW2

或SW3)是否按下

YES

显示不滚动的“1”

NO

按键SW4是否按

YES

Delay延时

显示向右滚动的数字“1”

图3.2 主程序流程图

3.6全部程序

见附录II

第四章仿真及调试

4.1元器件列表

名称规格数量单片机最小系统AT89S51、万用板等1套

点阵显示屏MATRIX8x8共阳极6块

驱动器74LS373 6个

电阻1 10K欧6个

译码器74LS138 1个

SW开关低手柄4个

导线普通若干

按钮BUTTON 2个

晶振器CRYSTAL 1个

4.2 Keil C51 介绍及使用

本设计中源程序程序的编写与调试是在Keil C51集成环境uVision中进行的。Keil C51是美国Keil Software公司出品的51系列兼容单片机C语言软件开发系统,与汇编相比,C语言在功能上、结构性、可读性、可维护性上有明显的优势,因而易学易用。

Keil C51软件提供丰富的库函数和功能强大的集成开发调试工具,全Windows界面,界面友好,操作简单。另外重要的一点是只要看一下编译后生成的汇编代码,就能体会到Keil C51生成的目标代码效率非常之高,多数语句生成的汇编代码很紧凑,容易理解。在开发大型软件时更能体现高级语言的优势。

(1)建立一个新的工程::单击“Ptoject”菜单,在弹出的下拉菜单中选择“New Project”选项。然后选择你要保存的路径,输入工程的名字然后点击保存。

16×16点阵显示综合实验

《EDA技术综合设计》 课程设计报告 报告题目: 16×16点阵显示综合实验作者所在系部:电子工程系 作者所在专业: 作者所在班级: 作者姓名: 指导教师姓名: 完成时间:

内容摘要 编写16×16点阵字符发生器的程序,通过CLK信号控制它的行驱动信号和列选信号让其依次输出‘中’,‘国’,‘人’三个字,通过硬件实验观察其结果,对于其他的显示花样以及点亮方式,可以根据实际需要自行设计。 关键字:16×16点阵,CLK,显示花样

目录 一概述 (5) 二方案设计与论证 (5) 三程序清单 (5) 四器件编程与下载 (9) 五性能测试与分析 (10) 六实验设备 (10) 七心得体会 (10) 八参考文献 (11)

课程设计任务书

一、概述 在时钟信号的控制下,使16×16点阵管花样点亮,在EDA试验仪中,16×16点阵显示列的驱动已经做好,其列选信号为SELOUT[3..0],送到4线-16线译码电路,译码电路的输出通过8只75451(双2输入与门,OC门)驱动器驱动16×16点阵管的16条共阴极列线;所以在设计点阵控制接口时,其列选信号必须由SELOUT[3..0]输出去控制译码电路。对于信号的频率,采用与七段数码管的位选信号一样的处理方法,即扫描频率大于24Hz;通过CLK信号控制行驱动与列选信号使其动态依次显示”中国人“三个字。其中CLK为时钟输入端,DIN[3..0]为花样显示模式选择,doout[15..0]为行驱动信号输出;SELOUT[3..0]为列选信号输出,去驱动4-16译码电路产生16×16点阵管的列选信号。 二、方案设计与论证 该程序由三个进程信号组成,进程K1通过CLK信号控制扫描频率s以及计数信号q,进而由q的记述周期控制cp信号。进程k2由cp信号控制汉字的扫描周期s0,实现汉字的依次显示,进程k3由扫描信号s控制点阵的行驱动和列选信号,使其准确显示’中国人’三个字。用VHDL语言编写程序,经过上级调试与编译,并下载到硬件观察实验结果。 三、程序清单 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity A1 is

LED点阵显示屏设计报告

西安邮电大学 开发性实验结题报告 学院:电子工程学院 班级:光信1201 姓名:袁云飞学号:05123010 班级:光信1201 姓名:赵晓伟学号:05123019 班级:光信1201 姓名:陶鹏江学号:05123018 237团队 2014年3月30日

16 32点阵LED电子显示屏 摘要: 本设计是一16×32点阵LED电子显示屏的设计。 整机以美国ATMEL 公司生产的40脚单片机AT89C52为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制两个行驱动器74HC573和四个列驱动器74HC573来驱动显示屏显示。该电子显示屏可以显示各种文字或单色图像,全屏采用8块8×8点阵LED显示模块来组成16×32点阵显示模式。文中详细介绍了LED点阵显示的硬件设计思路、硬件电路各个部分的功能及原理、相应软件的程序设计,以及使用说明等。 单片机控制系统程序采用单片机C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。LED显示以其组构方式灵活、显示稳定、功耗低、寿命长、技术成熟、成本低廉等特点得到广泛的应用。 关键词:AT89C51单片机;LED;点阵显示;动态显示;C语言。 一绪论 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 1 LED点阵显示屏概述 LED点阵显示屏的构成型式有多种,其中典型的有两种。一种把所需展示的广告信息烧写固化到EPROM芯片内,能进行固定内容的多幅汉字显示,称为单显示型;另一种在机内设置了字库、程序库,具有程序编制能力,能进行内容可变的多幅汉字显示,称可编程序型。 目前,国内的LED点阵显示屏大部分是单显示型,其显示的内容相对较少,

LED16乘16电子显示器课程设计

目录 1. 前言................................................... 错误!未定义书签。 2. 方案设计............................................... 错误!未定义书签。 2.1. 系统功能要求...................................... 错误!未定义书签。 2.2. 硬件设计.......................................... 错误!未定义书签。 2.2.1. 8255A芯片................................... 错误!未定义书签。 2.3. 设计框图.......................................... 错误!未定义书签。 2.4. LED点阵介绍 ...................................... 错误!未定义书签。 2.5. LED显示方式 ...................................... 错误!未定义书签。 3. 测试与调试............................................. 错误!未定义书签。 4. 总结与体会............................................. 错误!未定义书签。 5. 程序清单............................................... 错误!未定义书签。 6. 参考文献............................................... 错误!未定义书签。

微机接口实验-16x16点阵显示

实验04·LED显示器 王梦硕 0930******* 实验目的: 在理解LED点阵工作原理的基础上,实践使用点阵显示字符。 实验原理: 1·点阵式显示器: 发光二级管排列成矩阵,由亮与暗来产生字符或图形。 每一样的阳极连在一起,每一列的阴极连在一起,如右图所 示。 点阵显示器每一列的阴极连在一起,对每一列而言相当 于一个共阴显示器。同时每一行的阳极连在一起,相当于七 段显示器的比划。可采用动态显示电路,以笔画锁存器控制 行信号,以位锁存器控制列信号。 2·74HC595 实验中使用两片8位输出锁存移位寄存器74HC595(三态输出、串入并出),将单片机I/O口发出的串行数据转换为并行数据LD_QA~LD_QP,作为16x16 LED点阵显示器的行线,使用另外两片8位74HC595作为16x16 LED点阵显示器的列线LD_1~LD_16。当行输出高电平、列输出低电平时,可以点亮点阵。74HC595的工作时序图和推荐的连接方法如下: 下图中: ?LD-QA~LD-QP:点阵行控制信号 ?LD-1~LD-16:点阵列控制信号 ?SER(14脚):串行数据输入端 ?-SCLR(10脚):低电平时将移位寄存器的 数据清零。通常将它接Vcc。 ?SCK(11脚):上升沿时将串行数据移入移 位寄存器。 ?RCK(12脚):上升沿时移位寄存器的数据 锁存入数据寄存器。 ?-G(13脚): 高电平时禁止输出(高阻态)。

实验内容: 在16×16LED点阵上分别用静态方式和滚屏方式显示自己的姓(行扫描)。 两个实验部分的电原理图是相同的,如下所示:

1·静态方式: 流程图: 程序代码: L_DAT_H BIT P1.0 L_DAT_L BIT P1.1 L_STR BIT P1.2 L_CLK BIT P1.3 L_OE BIT P1.4 ROWH EQU 40H ;字模信号(顺向取膜,高位在前)ROWL EQU 41H SELH EQU 42H ;行扫描信号

16乘16点阵显示实验报告剖析

实验报告 实验名称: [16×16点阵显示实验] 姓名: [] 学号: [201] 指导教师: [解*] 实验时间: [2013年4月25日] 信息与通信工程学院

16×16点阵显示实验 1实验要求 任务1:将所给程序改正使结果为正显示; 任务2:使显示四个字、八个字。 2实验原理 2.1 LED显示器结构和原理 1>8*8LED点阵的结构 图1 8*8LED点阵结构图 从图1中可以看出,8*8LED点阵共由64个发光二极管组成,每个发光二极管是放置在行线和列线的交叉点上,当对应的某一行置1高电平,且某一列置0低电平,则相应的发光二极管就亮;因此要用8*8LED点阵来显示一个字符或汉字,只需要根据字符或汉字图形中的线条或笔画,通过点亮多个发光二极管来勾勒出字符或汉字的线条或笔画就行了。当要比较完美的显示一般的汉字,单个8*8LED点阵模块很难做到,因为LED的点数(也称为像素点)不够多,因此要显示汉字的话,需要多个8*8LED点阵拼合成一个显示屏。假如用4个8*8LED点阵模块拼成16*16的点阵,即能满足一般汉字的显示。但要显示信息量大的图形,则需要n个多个8*8LED点阵,拼装成一个大屏幕才行。

LED点阵显示器最大的特点是亮度高、功耗较低、寿命长、容易控制等,因此它的应用很广,常用在广场、车站、商业广告等室外的显示。 2>8*8LED点阵的封装和引脚规律 64个发光二极管按照行共阳、列共阴4个一组的方式封装成一个模块,这样8*8LED 点阵模块就有8行、8列共16个引脚。其实物图如图2,电路模块符号图如图3。 图2 8*8LED点阵实物图图3 8*8LED点阵符号图但8*8LED点阵的16个引脚并不是很有规律,千万不要想象成1~8个引脚是行,9~16个引脚是列。而且不同产品的点阵外部引脚排列规律还可能不一样。以下是NLB1388SRA 和LDM1388SRA两个型号点阵引脚对应行、列的关系表: 行号H0 H1 H2 H3 H4 H5 H6 H7 引脚号9 14 8 12 1 7 2 5 列号L0 L1 L2 L3 L4 L5 L6 L7 引脚号13 3 4 10 6 11 15 16 假如你买到一块新的8*8LED点阵,又没有关于它的相关资料,那你只有自己用万用表或通过VCC电源串接一个510欧姆的电阻来检测了。 2.2 LPM_ROM的应用 该模块为逻辑宏模块存储器。其应用过程如下。 1选择模块

16X16LED点阵课程设计报告

清远职业技术学院课程设计报告 《4个16X16点阵LED电子显示屏》 姓名何渊乾 班级07电子(2)班 专业电子信息工程技术

报告主体参考《单片机课程设计指导》 一、功能设计要求 (3) 二、方案论证 (3) 三、系统硬件电路的设计 (4) 四、系统程序的设计 (6) 五、调试及性能分析 (7) 六、控制源程序清单 (7) 七、收获、体会、建议 (7) 八、焊接成品 (7) 九、附件 附件1 (8) 附件2 (15) 附件3 (15) 附件4 (16) 附件5 (17)

课题:4个16X16LED点阵电子显示屏 一、功能设计要求 设计一个能显示4个16X16点阵图文LED显示屏(由于经济问题,由4个8X8的LED 焊接成一个16X16的LED),要求能显示图形或文字,显示图形或文字应稳定、清晰,图形或文字显示有静止、左移或右移等显示方式。 二、方案论证 从理论上讲,不论显示图形还是文字,只要控制与组成这些图形或文字的各个点所在位置相对应的LED器件发光,就可以得到想要的显示结果。这种同时控制各个发光点亮灭的方法称为静态驱动显示方式。每个16X16的点阵共有256个发光二极管,显然单片机没有这么多端口。如果采用锁存器来扩展端口,那么按8位锁存器来计算,一个16X16的点阵需要256/8=32个锁存器。这个数字很庞大,因为这里仅仅是16X16的点阵,而在实际应用中的显示屏往往还要大得多,这样在锁存器上花的成本将是一个很庞大的数字。因此在实际应用中,显示屏几乎都不采用这种设计,而采用另一种称为动态扫描的显示方法。 所谓动态扫描,简单地说就是逐行轮流点亮,这样扫描驱动电路就可以实现多行(如16行)的同名列共用一套列驱动器。以16X16点阵为例,把所有同一行发光管的阳极连在一起,把所有同一列发光管的阴极连在一起(共阳的接法),先送出第一行发光管亮灭的数据并锁存,然后选通第一行使其点亮一定的时间,然后熄灭;再送出对应第二行的数据并锁存,然后选通第二行使其点亮相同的时间,然后熄灭……第十六行之后又重新亮第一行,这样反复轮回。当这样轮回的速度足够快(每秒24次以上)时,由于人眼的视觉暂留现象,我们就能看到显示屏上稳定的图形了。 采用扫描方式进行显示时,每行有一个行驱动器,各行的同名列共用一个列驱动器。显示数据通常存储在单片机的存储器中,按8位一个字节的形式顺序排放。显示时,要把一行中各列的数据都传送到相应的列驱动器上去,这就存在一个显示数据传输的问题。从控制电路到列驱动器的数据传输可以采用并行方式或串行方式。显然,采用并行方式时,从控制电路到列驱动器的线路数量大,相应的硬件数目多。当列数很多时,并行传输的方案是不可取的。 采用串行传输的方法,控制电路可以只用一根信号线,将列数据逐位地传往列驱动器,在硬件方面无疑是十分经济的。但是,串行传输过程较长,数据按顺序逐位地输出给列驱动器,只有当一行中的各列数据都已经传输到位之后,这一行的各列才能并行地进行显示。这样,对于一行的显示过程就可以分解成列数据准备(传输)和列数据显示两部分。对于串行传输方式来说,列数据准备时间相对要长一些,在行扫描周期确定的情况下,行显示的时间就会缩短,以致会影响到LED的亮度效果。 解决串行传输中列数据准备和列数据显示的时间矛盾问题,可以采用重叠处理的方式来解决。即在显示本行各列数据的同时,传送下一行的列数据。为了达到重叠处理的目的,列数据的显示就需要具有锁存功能。经过上述分析,可以归纳出列驱动器电路应具备的主要功能:对于列数据准备来说,应能实现串入并出的移位功能;对于列数据显示来说,应具有并行锁存的功能。这样,本行已准备好的数据打入并行锁存器进行显示时,串并移位寄存器就可以准备下一行的列数据,而不会影响本行的显示时间。

EDA 16x16点阵显示

课程设计报告 课程名称数字系统与逻辑设计 课题名称16*16点阵显示 专业通信工程 班级 学号 姓名 指导教师乔汇东胡瑛 2012年7月2日

湖南工程学院课程设计任务书 课程名称数字系统与逻辑设计课题16*16点阵显示 专业班级通信工程1001班 学生姓名 学号 指导老师乔汇东胡瑛 审批乔汇东 任务书下达日期2012 年6月23日 任务完成日期2012 年7月2日

《数字系统与逻辑设计》课程设计任务书 一、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第二十周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计 星期三:编程,上机调试、修改程序 星期四:上机调试、完善程序 星期五:答辩 星期六-星期天:撰写课程设计报告 附: 课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

单片机实验--LCD显示实验

实验19L C D显示实验 一、实验目的: 学习液晶显示的编程方法,了解液晶显示模块的工作原理。 掌握液晶显示模块与单片机的接口方法。 二、所需设备 CPU挂箱、8031CPU模块 三、实验内容 编程实现在液晶显示屏上显示中文汉字“北京理工达盛科技 有限公司”。 四、实验原理说明 五、实验步骤 1、实验连线 8255的PA0~PA7接DB0~DB7,PC7接BUSY,PC0接REQ,CS8255 接CS0。 2、运行实验程序,观察液晶的显示状态。 六、程序框图 八、附:点阵式LCD 模块 点阵式LCD模块 由一大一小两块液晶 模块组成。两模块均 由并行的数据接口和 应答信号接口两部分 组成,电源由接口总 线提供。 (1)OCMJ2×8液晶 模块介绍及使 用说明 OCMJ中文模块系列液晶显示器内含 GB 2312 16*16点阵国标一级简体汉字和 ASCII8*8(半高)及8*16(全高)点阵英文字库,用户输入区位码或 ASCII 码即可实现文本显示。 OCMJ中文模块系列液晶显示器也可用作一般的点阵图形显示器

之用。提供有位点阵和字节点阵两种图形显示功能,用户可在指定的屏幕位置上以点为单位或以字节为单位进行图形显示。完全兼容一般的点阵模块。 OCMJ中文模块系列液晶显示器可以实现汉字、ASCII 码、点阵图形和变化曲线的同屏显示,并可通过字节点阵图形方式造字。 本系列模块具有上/下/左/右移动当前显示屏幕及清除屏幕的命令。一改传统的使用大量的设置命令进行初始化的方法,OCMJ 中文模块所有的设置初始化工作都是在上电时自动完成的,实现了“即插即用”。同时保留了一条专用的复位线供用户选择使用,可对工作中的模块进行软件或硬件强制复位。规划整齐的10个用户接口命令代码,非常容易记忆。标准用户硬件接口采用REQ/BUSY 握手协议,简单可靠。 硬件接口 接口协议为请求/应答(REQ/BUSY)握手方式。应答BUSY 高电平(BUSY =1)表示 OCMJ 忙于内部处理,不能接收用户命令;BUSY 低电平(BUSY =0)表示 OCMJ 空闲,等待接收用户命令。发送命令到 OCMJ可在BUSY =0 后的任意时刻开始,先把用户命令的当前字节放到数据线上,接着发高电平REQ 信号(REQ =1)通知OCMJ请求处理当前数据线上的命令或数据。OCMJ模块在收到外部的REQ高电平信号后立即读取数据线上的命令或数据,同时将应答线BUSY变为高电平,表明模块已收到数据并正在忙于对此数据的内部处理,此时,用户对模块的写操作已经完成,用户可以撤消数据线上的信号并可作模块显示以外的其他工作,也可不断地查询应答线BUSY是否为低(BUSY =0?),如果BUSY =0,表明模块对用户的写操作已经执行完毕。可以再送下一个数据。如向模块发出一个完整的显示汉字的命令,包括坐标及汉字代码在内共需5个字节,模块在接收到最后一个字节

16X16点阵LED显示

毕业设计说明书 课题名称: 16乘16点阵显示电路的电路原理图及pcb绘制 学生姓名 专业 班级 时间 指导教师

姓名 设计题目16乘16点阵显示电路的原理图及pcb 绘制 指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3.对原理图里面的原件进行封装 4.创建链接表 5.导入到PCB里面,并排列连接 6.制造PCB版 7.

姓名 设计题目16乘16点阵显示电路的原理图及pcb绘制指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器 74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3对原理图里面的原件进行封装 4创建链接表 5导入到PCB里面,并排列连接 6制造PCB版

8 8LED点阵显示实验

8 8LED点阵显示实验 一.实验要求 利用实验系统提供的实验模块点阵显示,编程实现中英文字符的显示。 二.实验目的 1.了解LED点阵显示的基本原理和实现方法。 2.掌握点阵汉字库的编码和从标准字库中提取汉字编码的方法。 三.实验电路及连线 点阵显示模块WTD3088的(红色)列输入线接至内部LED的阴极端,行输入线接至内部LED 的阳极端(若阳极端输入为高电平,阴极端输入低电平,则该LED点亮)。发光点的分布如图22-0所示。 Fig 22-0 WTD3088 LED分布 如图22-1示,本实验模块使用74LS374来控制列输入线的电平值。将74LS374的某输出置0,则对应的LED阴极端被置低。如图22-2示,本实验模块使用74LS273来控制行输入线,并通过9013提供电流驱动。将74LS273的某输出置1,则对应的LED阳极端被置高。每次系统重新开启或总清后,74LS273输出为全0,LED显示被关闭。 通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。

Fig 22-1 LED模块及列扫描电路 Fig 22-2 行扫描电路 Fig 22-3地址译码电路 本实验模块使用4块WTD3088组成16×16点阵,以满足汉字显示的要求。为了方便的控制四个单元,使用了一片74LS139译码,产生四个地址片选信号:CLKR1= CSLED,CLKR2= CSLED+1,用于行控制的两片74LS273;CLKC1= CSLED+2,CLKC2= CSLED+3,用于列控制的两片74LS374。实验接线:按示例程序,模块的CSLED接51/96地址的8000H。 四.实验说明

LED点阵显示屏实验报告

16?16点阵LED电子显示屏的设计 摘要:文章介绍了基于单片机AT89C51的16?16点阵LED电子显示屏的设计。分别阐述了显示屏显示的基本原理,硬件设计、控制方法及其程序的实现。经过调试和分析,设计的结果能够实现对汉字的静态和动态显示,动态显示的内容有多种方式,同时又可通过上位机更新显示的内容。 关键字:AT89C51;16?16点阵;LED;显示屏 一绪论 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 1 LED点阵显示屏概述 LED点阵显示屏的构成型式有多种,其中典型的有两种。一种把所需展示的广告信息烧写固化到EPROM芯片内,能进行固定内容的多幅汉字显示,称为单显示型;另一种在机内设置了字库、程序库,具有程序编制能力,能进行内容可变的多幅汉字显示,称可编程序型。 目前,国内的LED点阵显示屏大部分是单显示型,其显示的内容相对较少,显示花样较单一。一般在产品出厂时,显示内容就已写入显示屏控制系统中的EPROM芯片内,当需要更换显示内容时就非常困难,这样使该类型的显示屏使用范围受到了限制。国内的另一种LED显示屏——可编程序型LED显示屏,虽然增加了显示屏系统的编程能力,显示内容和显示花样都有所增加,但也存在着更换显示内容不便的缺点。随着社会经济的迅速发展,如今的广告牌都存在着显示内容丰富、信息量大、信息更换速度快等特点。因此传统的LED显示屏控制系统已经越来越不能满足现代广告宣传业的需要。而利用PC机通信技术控制LED显示屏,则具有显示内容丰富,信息更换灵活等优点。 2 LED显示屏控制技术状况 显示屏的控制系统包括输入接口电路、信号控制、转换和数字化处理电路及输出接口电路等,涉及的具体技术很多,其关键技术包括串行传输与并行传输技术、动态扫描与静态锁存技术、自动检测及远程控制技术等。

8 16X16LED点阵显示程序

16×16按字显示程序: ;P0和P2口输出字型码,P1口输出列线扫描。 ORG 0000H SJMP LOOP ORG 0080H LOOP:MOV A,#00H ;开机初始化,清除画面MOV P0,A ;清除P0口 ANL P2,#00 ;清除P2口 MOV R2,#200 D100MS: MOV R3,#250 ;延时100毫秒 DJNZ R3,$ DJNZ R2,D100MS

MOV 20H,#00H ;字型码指针赋初值 L100: MOV R1,#10 ;每个字的停留时间 L16: MOV R6,#16 ;每个字16个16位码 MOV R4,#00H ;列线扫描指针清零,接4-16译码器,。 MOV R0,20H ;字型码指针存入R0 L3: MOV A,R4 ;列线扫描指针存入A MOV P1,A ;列线扫描输出 INC R4 ;扫描指针加1,指向下一列 MOV A,R0 ; 取码指针存入A MOV DPTR,#TABLE ;取数据表的上半部分的代码 MOVC A,@A+DPTR MOV P0,A ; 输出到P0 INC R0 ;取字型码指针加1,取下一个码。 MOV A,R0 MOV DPTR,#TABLE ;取数据表下半部份的代码 MOVC A,@A+DPTR MOV P2,A ;输出到P2口 INC R0 ;取字型码指针加1,取下一个码。 MOV R3,#02 ;扫描1毫秒 DELAY2:MOV R5,#248 DJNZ R5,$ DJNZ R3,DELAY2 MOV A,#00H ;清除屏幕 MOV P0,A ANL P2,#00H DJNZ R6,L3 ;一个字16个码是否完成? DJNZ R1,L16 ;每个字的停留时间是否到了? MOV 20H,R0 ;取码指针存入20H(静态显示) CJNE R0,#224,L100 ;7个字224个码是否完成? JMP LOOP ;反复循环 16×16滚动显示程序: ORG 0000H SJMP LOOP ORG 0080H LOOP:MOV A,#00H ;开机初始化,清除画面 MOV P0,A ;清除P0口

单片机技术课程设计16X16点阵说明书(孙源)

郑州华信学院 课程设计说明书 题目:16×16点阵LED汉字显示器设计 姓名:孙源 院(系):机电工程学院 专业班级: 11级电气工程及其自动化一班 学号: 1102120108 指导教师:宋东亚 成绩: 时间: 2013 年 12 月 17 日至 2013 年 12 月 28 日

郑州华信学院 课程设计任务书 题目: 16×16点阵LED汉字显示器设计 专业、班级: 11级电气工程及其自动化一班 学号: 1102120108 姓名:孙源. 主要内容、基本要求、主要参考资料等: 主要内容: 利用单片机控制16×16点阵LED汉字显示屏汉字、数字、字母的多样化显示。基本要求: 1.实现LED点阵屏核心功能即汉字、数字、字母的多样化显示; 2.利用proteus软件完成设计电路和仿真,要求显示“郑州华信学院”字样,并且能够调整显示字样; 3.掌握SPI串口进行数据传输的应用,并学会使用外部芯片辅助项目设计; 4.通过此次设计将单片机软硬件结合起来对程序进行编辑、校验,锻炼实践能力和理论联系实际的能力。 主要参考资料: [1]李全利,单片机原理及接口技术[M],高等教育出版社 [2]王文杰,单片机应用技术[M],冶金工业出版社 [3]朱清慧,PROTEUS教程——电子线路设计、制版与仿真[M],清华大学出版社 [4]单片机实验指导书,天煌教仪 [5]彭伟,单片机C语言程序设计实训100例[M],电子工业出版社 完成期限: 指导教师签名: 课程负责人签名: 年月日

目录 第一章引言 (1) 1.1 概述 (1) 1.2 LED显示屏简介 (1) 1.3设计目的 (1) 第二章设计方案及内容 (2) 2.1 设计任务 (2) 2.2 设计内容 (2) 第三章硬件设计 (3) 3.1设计框图及介绍 (3) 3.2电路分析 (4) 3.3点阵电路原理图 (4) 3.4 LED点阵介绍 (4) 3.5 LED显示方式 (6) 3.7 80C51单片机结构介绍 (8) 3.8 74HC154结构介绍 (9) 第四章软件设计 (11) 4.1 单片机延时子程序 (11) 4.2软件设计流程图 (12) 4.3取字模软件介绍 (14) 4.4汇编语言程序 (16) 第五章测试数据及性能分析 (19) 5.1 HEX文件的生成 (19) 5.2 仿真调试 (19) 附录 (22) 附录1 元器件清单 (22) 参考文献 (22) 结论 (23) 致谢 (23)

5实验五:点阵LED显示

实验五点阵LED显示 1、实验目的:(1)了解点阵LED显示器的基本原理; (2)掌握单片机控制点阵LED显示程序的设计方法。 2、实验仪器:PC机一台,万利仿真器一套及其开发环境,清华TMC-1开放式单片机实验系统一套。 3、实验原理:点阵的LED显示器是将许多LED用类似矩阵的结构排列在一起组成的显示器件,当用单片机输出控制信号,使得点阵中的LED有些发光,有些不发光,即可显示出特定的信息,包括汉字、图形等,由微机控制点阵LED大屏幕广告宣传牌就是采用的这样的显示技术。 实验仪上设有一个共阳极8×8的点阵LED显示器,其点阵结构如图所示。 该点阵对外引出8条行线,8条列线,如果使某一个LED发光,只要将与其相连接的行线加高电平,列线加低电平;若是使某一列LED发光,只要将8根行线全加高电平,此列线加低电平;若是使某一列LED部分发光,只要将需要发光的行线加高电平,此列线加低电平。实验仪上的点阵LED及驱动连接电路如下一页的电路图所示(其中点阵LED与8051输入输出口之间的连接需要实验者自己连接),这里采用了P2和P1口。8051的P2口输出的数据通过行驱动器(74LS07)加在了点阵LED的8条行线上,8051的P1口输出的数据通过列驱动器(ULN2003A)反相后加在了点阵LED的8条列线上。如果要使该点阵显示某一信息,只要通过P1、P2口输出特定的数据,控制点阵LED逐行或逐列循环发光即可。 例如:如果显示汉字“年”,采用逐列循环发光。首先由“年”的点阵轮廓确定点阵代码。“年”字的点阵轮廓如右图所示,根据“年”字的点阵代码,确定逐列发光的时序如下: 1、P2口输出24H;P1口输出80H,第7列的2个LED发光; 2、P2口输出44H;P1口输出40H,第6列的2个LED发光; 3、P2口输出DCH;P1口输出20H,第5列的5个LED发光; 4、P2口输出54H;P1口输出10H,第4列的3个LED发光; 5、P2口输出7FH;P1口输出08H,第3列的7个LED发光; 6、P2口输出54H;P1口输出04H,第2列的3个LED发光; 7、P2口输出54H;P1口输出02H,第1列的3个LED发光; 8、P2口输出44H;P1口输出01H,第0列的2个LED发光; 在以上每一步骤之间插入 1ms左右的延时,重复进行以上1―8步骤,即可在LED上稳定的显示出“年”字。这里P2口先后输出的8字节数据:24H,44H,DCH,54H,7FH,54H,54H,44H,称为“年”的代码。

16X16点阵LED电子显示屏设计资料

单片机应用系统实验设计 16X16点阵LED电子显示屏设计 班级:物电学院电信2班 组员:吕勇军学号:201011020219 黄波201011020220 指导老师:彭建英 日期:2012年12月1号

第一章绪论 1.1 单片机的应用 单片机是生活必不可缺的,顾名思义单片机的应用是很广泛的,导弹的导航装置、飞机上各种仪表的控制、计算机的网络通讯和数据传输、工业自动化过程和实时控制数据处理、广泛使用的智能IC卡、民用豪华轿车的安全保障系统、录像机和摄像机、全自动洗衣机的控制、以及程控玩具、电子宠物等等。单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域。 1.2电子显示屏 随着现代光电技术、微电子技术及计算机技术的飞速发展和普及,LED显示屏已遍及社会的各个领域。简单的讲,显示屏就是由若干个可组合拼接的显示单元构成屏体,再加上一套适当的控制器。所以多种规格的显示板配合不同技术的控制器就可以组成许多种LED显示屏,以满足不同环境,不同显示要求的需要。LED显示屏是由几万到几十万个半导体发光二极管像素点均匀排列组成。利用不同的材料可以制造不同色彩的LED像素点。目前应用最广的是红色、绿色、黄色。而蓝色和纯绿色LED的开发已经达到了实用阶段。LED显示屏可以显示变化的数字、文字、图形图像;不仅可以用于室内环境还可以用于室外环境,具有投影仪、电视墙、液晶显示屏无法比拟的优点。LED显示屏的分类:按颜色可以分为单基色显示屏、双基色显示屏、全基色显示屏;按显示器分类LED数码显示屏、LED点阵图文显示屏;按实用场合分类有室内显示屏和室外显示屏。仔细分解一个LED显示屏,它有以下一些要素构成:金属结构框架、显示单元、扫描控制板、开关电源、双绞线传输电缆、主控制仪、专用显示卡及多媒体卡、电脑及其外设、其它信息源。

单片机课程设计报告——16x16LED滚动显示

16x16LED滚动显示课程设计:单片机课程设计 专业名称:电气工程及其自动化 学号: 学生姓名: 同组人员: 指导教师: 2014年12月8日

课程设计任务书 2014 ~2015 学年第1学期 学生姓名: 专业班级:电气工程及其自动化2012级(2)班 指导教师:工作部门: 一、课程设计题目:16x16LED滚动显示 二、课程设计内容 1. 根据具体设计课题的技术指标和给定条件,以单片机为核心器件,能独立而正确地进行方案论证和电路设计,完成仿真操作。要求概念清楚、方案合理、方法正确、步骤完整; 2. 熟悉、掌握各种外围接口电路芯片的工作原理和控制方法; 3. 熟练使用单片机汇编语言或C51进行软件设计; 4. 熟练使用Proteus、Keil软件进行仿真电路测试; 5. 熟练使用Protel软件设计印刷电路板; 6. 学会查阅有关参考资料和手册,并能正确选择有关元器件和参数; 7. 编写设计报告,参考毕业设计论文格式。 (1)根据课题要求确定系统设计方案; (2)绘制系统框图、系统仿真原理图(印刷电路板图),列出元器件明细表; (3)计算电路参数和选择元器件,画出软件框图,列出程序清单; (4)打印仿真结果,根据测试结果进行误差分析与修改调整; (5)对设计进行全面总结。 三、进度安排

2.执行要求 单片机应用课程设计共9个选题,学生可自选课题。每组不超过2人,为避免雷同,在设计中每个同学所采用的方案不可一样。 四、课程设计考核办法与成绩评定 六、课程设计参考资料 [1]贺哲荣.AT89S51单片机硬件设计与编程实例.北京:中国电力出版社.2012 [2]李泉溪.单片机原理与应用实例仿真.北京:北京航空航天大学出版社,2012. [3]王平.单片机应用设计与制作.北京:清华大学出版社, 2012. [4]彭为等.单片机典型系统设计实例精讲. 北京:电子工业出版社,2007 [5]王庆利等.单片机设计案例实践教程.北京:北京邮电大学出版社,2008 [6]韩志军等.单片机应用系统设计——入门向导与设计实例.北京:机械工业出版社,2005 [7]皮大能等. 单片机课程设计指导书. 北京:北京理工大学出版社,2010 指导教师: 2014年12月8日 教研室主任: 2014年12 月8 日

16X16点阵显示综合实验eda

北华航天工业学院 《EDA技术综合设计》 课程设计报告 报告题目:16X16点阵显示综合实验作者所在系部:电子工程系 作者所在专业:自动化 作者所在班级:B08221 作者姓名:王建超 指导教师姓名:崔瑞雪 完成时间:2010-11-30

内容摘要 EDA技术是现代电子信息工程领域的一门新兴技术,它是在现代先进的计算机工作平台上开发出来的一整套电子系统设计的软硬件工具,并提供了先进的电子系统设计方法。随着EDA技术的不断发展,开发人员完全可以通过自己的电子系统设计来定制其芯片内部的的电路功能,使之成为设计者自己的专门集成电路芯片。 在本次课设中,设计一个共阴16X16点阵控制接口,要求:在时钟信号的控制下,使点阵动态点亮,点亮方式为使点阵显示器显示“沈小兰王建超袁利宏”九个字和一种花样,其中列选信号为16-4编码器编码输出。 列选信号采用与7段数码管的位选信号一样的处理方法,即列扫描信号频率大于24HZ。 字体、格式,注意本次为课设报告、不是实验报告 关键词:EDA、可编程逻辑器件、时钟信号、16*16点阵字符发生器

目录 一、设计要求 (1) 二、实验目的 (1) 三、硬件要求 (1) 四、实验原理 (1) 五、程序设计 (2) 16进制计数器 (2) 16*16点阵的行列驱动器 (2) 六、原理图 (12) 七、仿真波形 (12) 八、实验总结 (13) 参考文献 (13)

课程设计任务书

一、实验要求 设计一个共阴16X16点阵控制接口,要求:在时钟信号的控制下,使点阵动态点亮,点亮方式为使点阵显示器显示“沈小兰王建超袁利宏”九个字和一种花样,其中列选信号为16-4编码器编码输出。 二、实验目的 1、了解点阵字符的产生和显示原理。 2、了解E2PROM和16×16点阵LED的工作机理。 3、加强对于总线产生,地址定位的CPLD实现的理解。 三、硬件要求 1.主芯片EPF10K10LC84-4。 2.可变时钟源。 3.带有事先编程好字库/字符的E2PROM 2864。 4.16×16扫描LED点阵。 四、实验原理 16×16扫描LED点阵的工作原理同8位扫描数码管类似。它有16个共阴极输出端口,每个共阴极对应有16个LED显示灯。所以其扫描译码地址需4位信号线。要使16点阵上某个点亮,如第10行第4列的LED点亮,只要让列选信号为“0100”,从而选中第4列,再给第10行一个高电平,即可点亮该LED。本实验通过FPGA芯片写入字形,产生扫描信号。为了显示整个汉字,首先分布好汉字的排列,以行给汉字信息;然后以大于24HZ的频率扫描列,即每行逐一加高电平,根据人眼的视觉残留特性,使之形成整个汉字的显示。 由于要显示不同的字,需要给一个信DIN,对不同字不同花样进行选择。而该信号的产生可以通过一个16进制计数器完成。 本设计由16进制计数器,行驱动和列驱动组成。输出包括了如下图所示的列选信号SEL0—SEL3。

16X16点阵LED电子显示屏设计

单片机应用系统实验设计16X16点阵LED电子显示屏设计 班级:物电学院电信2班 组员:吕勇军学号:201011020219 黄波201011020220 指导老师:彭建英

日期:2012年12月1号 第一章绪论 1.1单片机的应用 单片机是生活必不可缺的,顾名思义单片机的应用是很广泛的,导弹的导航装置、飞机上各种仪表的控制、计算机的网络通讯和数据传输、工业自动化过程和实时控制数据处理、广泛使用的智能IC卡、民用豪华轿车的安全保障系统、录像机和摄像机、全自动洗衣机的控制、以及程控玩具、电子宠物等等。单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域。 1.2电子显示屏 随着现代光电技术、微电子技术及计算机技术的飞速发展和普及,LED显示屏已遍及社会 的各个领域。简单的讲,显示屏就是由若干个可组合拼接的显示单元构成屏体,再加上一套适当的控制器。所以多种规格的显示板配合不同技术的控制器就可以组成许多种LED显示屏, 以满足不同环境,不同显示要求的需要。LED显示屏是由几万到几十万个半导体发光二极管 像素点均匀排列组成。禾I」用不同的材料可以制造不同色彩的LED像素点。目前应用最广的是 红色、绿色、黄色。而蓝色和纯绿色LED的开发已经达到了实用阶段。LED显示屏可以显示变化的数字、文字、图形图像;不仅可以用于室内环境还可以用于室外环境,具有投影仪、电视墙、液晶显示屏无法比拟的优点。LED显示屏的分类:按颜色可以分为单基色显示屏、 双基色显示屏、全基色显示屏;按显示器分类LED数码显示屏、LED点阵图文显示屏;按实 用场合分类有室内显示屏和室外显示屏。仔细分解一个LED显示屏,它有以下一些要素构成:金属结构框架、显示单元、扫描控制板、开关电源、双绞线传输电缆、主控制仪、专用显示卡及多媒体卡、电脑及其外设、其它信息源。

微机课程设计-16x16led点阵设计..

广东药学院 微机原理课程设计 2012级电子信息工程 题目16x16点阵电子广告牌 小组第二组 组员吴耀龙·刘昌·潘搌鹏 时间2014年 6 月18 日

小组成员分工: 组长: 吴耀龙负责Protues画图与键盘代码,8255控制,闪烁显示 组员: 潘搌鹏:负责Protues图改进,流水显示广告与文字编码 刘昌:课题选择与功能实现负责程序调试与改进 成果: 基本实现预期功能,但是复位功能未能实现,还有按键检测时序上的一点小缺陷 摘要 LED显示屏分为图文显示屏和视频显示屏,均由LED矩阵块组成。LED显示屏可以显示变化的数字、文字、图形图像;不仅可以用于室内环境还可以用于室外环境,具有投影仪、电视墙、液晶显示屏无法比拟的优点。LED之所以受到广泛重视而得到迅速发展,是与它本身所具有的优点分不开的。这些优点概括起来是:亮度高、工作电压低、功耗小、小型化、寿命长、耐冲击和性能稳定。LED的发展前景极为广阔,目前正朝着更高亮度、更高耐气候性、更高的发光密度、更高的发光均匀性,可靠性、全色化方向发展。

现代LED 的发展很快,很多研究领域非常已经深刻,所以想利用自己学的微机知识简单的研究一下用微机驱动的LED 显示汉字,以达到学以致用和实践相结合的目的,同时巩固加深自己的微机知识。用点阵方式构成图形或文字,是非常灵活的,可以根据需要任意组合和变化,只要设计好合适的数据文件,就可以得到满意的显示效果。因而采用点阵式图文显示屏显示经常需要变化的信息,是非常有效的。 点阵大小可以有16×16、 24×24、32×32、48×48等不同规格,也有单色、双色、和多色几种,最常用的是单色图文屏。单色屏多使用红色或橘红色或橙色LED 点阵单元。双色图文屏和多色图文屏,在LED 点阵的每一个“点”上布置有两个或多个不同颜色的LED 发光器件。换句话说,对应于每种颜色都有自己的显示矩阵。显示的时候,各颜色的显示点阵是分开控制的。事先设计好各种颜色的显示数据,显示时分别送到各自的显示点阵,即可实现预期效果。每一种颜色的控制方法和单色的完全相同,因此掌握了单色图文显示屏的原理,双色屏和多色屏就不难理解了。 关键词:LED , 微机, 点阵, 驱动, 矩阵 1.设计方案 1.1、系统构成

相关主题