搜档网
当前位置:搜档网 › 基于单片机的简单频率计课程设计报告

基于单片机的简单频率计课程设计报告

基于单片机的简单频率计课程设计报告
基于单片机的简单频率计课程设计报告

《单片机原理与接口技术》课程设计报

频率计

1功能分析与设计目标 0

2频率计的硬件电路设计 (3)

2.1 控制、计数电路 (3)

2.2 译码显示电路 (5)

3频率计的软件设计与调试 (6)

3.1软件设计介绍 (6)

3.2程序框图 (8)

3.3功能实现具体过程 (8)

3.4测试数据处理,图表及现象描述 (10)

4讨论 (11)

5心得与建议 (12)

6附录(程序及注释) (13)

1 功能分析与设计目标

背景:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。为了实现智能化的计数测频,实现一个宽领域、高精度的频率计,一种有效的方法是将单片机用于频率计的设计当中。用单片机来做控制电路的数字频率计测量频率精度高,测量频率的范围得到很大的提高。

题目要求:

用两种方法检测(△m ,△ T )要求显示单位时间的脉冲数或一个脉冲的周期。

设计分析:

电子计数式的测频方法主要有以下几种:脉冲数定时测频法(M 法),脉冲周期测频法(T 法),脉冲数倍频测频法(AM 法),脉冲数分频测频法(AT 法),脉冲平均周期测频法(M/T 法),多周期同步测频法。下面是几种方案的具体方法介绍。

脉冲数定时测频法(M 法):此法是记录在确定时间Tc 内待测信号的脉冲个数Mx ,则待测频率为:

Fx=Mx/ Tc

脉冲周期测频法(T 法):此法是在待测信号的一个周期Tx 内,记录标准频率信号变化次数Mo。这种方法测出的频率是:

Fx=Mo/Tx

脉冲数倍频测频法(AM 法):此法是为克服M 法在低频测量时精度不高的缺陷发展起来的。通过A 倍频,把待测信号频率放大A 倍,以提高测量精度。其待测频率为:

Fx=Mx/ATo

脉冲数分频测频法(AT 法):此法是为了提高T 法高频测量时的精度形成的。由于T 法测量时要求待测信号的周期不能太短,所以可通过A 分频使待测信号

的周期扩大A倍,所测频率为:

Fx=AMo/Tx

脉冲平均周期测频法(M/T法):此法是在闸门时间Tc内,同时用两个计数器分别记录

待测信号的脉冲数Mx和标准信号的脉冲数Mo。若标准信号的频率为Fo,则待测信号频率为:

Fx=FoMx/Mo

多周期同步测频法:是由闸门时间Tc与同步门控时间Td共同控制计数器计数的一种测量方法,待测信号频率与M/T法相同。

以上几种方法各有其优缺点:

脉冲数定时测频法,时间Tc为准确值,测量的精度主要取决于计数Mx的误差。其特点在于:测量方法简单,测量精度与待测信号频率和门控时间有关,当待测信号频率较低时,误差较大。

脉冲周期测频法,此法的特点是低频检测时精度高,但当高频检测时误差较大。

脉冲数倍频测频法,其特点是待测信号脉冲间隔减小,间隔误差降低;精度比M法高A 倍,但控制电路较复杂。

脉冲数分频测频法,其特点是高频测量精度比T法高A倍,但控制电路也

较复杂。

脉冲平均周期测频法,此法在测高频时精度较高,但在测低频信号时精度较低。

多周期同步测频法,此法的优点是,闸门时间与被测信号同步,消除了对被测信号计数产生的±个字误差,测量精度大大提高,且测量精度与待测信号的频率无关,达到了在整个测量频段等精度测量。

功能描述:

由于水平有限,本次设计采用相对简单的M法和T法两种方法测量简单方波的频率或脉宽(由于是输入简单方波信号,省去了被测输入信号通过脉冲形成电路进行放大与整形这个步骤)。利用AT89C51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数或脉宽计时,计数(计时)的频率结果通过5位八段LED数码管显示器显示出来。

设计指标:

M法由于TO、T1对外部脉冲信号的最高计数频率为振荡频率的1/24,而振

荡频率为12MHz得M法最高计数频率为500KHz而本设计设定最高计数频率即为500KHz 误差要求尽量小。

T法仅设定能测的外部脉宽范围为65536X 20us,以使定时计数器在不产生溢出中断的情况下进行测量。本设计的频率测量误差要求尽量小,实践证明误差控制在1/100范围内。

2频率计的硬件电路设计

原理介绍

图2-1数字式频率计原理框图

由上图可以看出,待测信号经过放大整形电路后得到一个待测信号的脉冲信号,然后通过计数器计数,可得到需要的频率值,最后送入译码显示电路中显示出来。但是控制部分相对重要,它在整个系统的运行中起至关重要的作用。

本设计控制电路和计数器电路以AT89C51为核心,译码显示电路采用单片机静态显示计数来显示,采用5位七段LED数码管显示器。下面分节介绍各部

分硬件电路:

2.1 控制、计数电路

单片机作为控制系统和计数器,是本次设计的最重要的部分,AT89C51 是一种带4K 字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory的低电压,高性能CMOS8位微,俗称单片机。该器件采用ATMEL 高密度非易失存储器制造制造,与工业标准的MCS-51 指令集和输出管脚相兼容。由于将多功能8 位CPU 和闪烁存储器组合在单个中,ATMEL 的AT89C51 是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。所以本次设计采用AT89C51 单片机。

89C51 单片机, 它提供下列标准特征:4K 字节的程序存储器,128 字节的RAM , 32

条I/O线,2个16位定时器/计数器,,一个5中断源两个优先级的中断结构,一个双工的串行口,片上震荡器和时钟电路。其引脚说明如下:

引脚说明:

?VCC :电源电压。

?GND:接地。

?P0 口:P0 口是一组8位漏极开路型双向I/O 口,作为输出口用时,每个引脚能驱动8个TTL逻辑门电路。当对0端口写入1时,可以作为高阻抗输入端使用。

当P0 口访问外部程序存储器或数据存储器时,它还可设定成地址数据总线复用的形式。在这种模式下,P 0口具有内部上拉电阻。

在EPROM编程时,P0 口接收指令字节,同时输出指令字节在程序校验时。程序校验时需要外接上拉电阻。

?P0 口:P0 口是一带有内部上拉电阻的8位双向I/O 口。P0 口的输出缓冲能接受或输出4个TTL逻辑门电路。当对P0 口写1时,它们被内部的上拉电阻拉升为高电平,此时可以作为输入端使用。当作为输入端使用时,P0 口因为内部存在上拉电阻,所以当外部被拉低时会输出一个低电流(IIL )。

?P1 口:P2是一带有内部上拉电阻的8位双向的I/O端口。P1 口的输出缓冲能驱动4个TTL逻辑门电路。当向P1 口写1时,通过内部上拉电阻把端口拉到高电平,此时可以用作输入口。作为输入口,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出电流(IIL )。

P2 口在访问外部程序存储器或16 位地址的外部数据存储器(例如MOVX @ DPTR)时,P2 口送出高8位地址数据。在这种情况下,P2 口使用强大的内部上拉电阻功能当输出1 时。当利用8 位地址线访问外部数据存储器时(例MOVX @R1), P2 口输出特殊功能寄存器的内容。

当EPROM编程或校验时,P2 口同时接收高8位地址和一些控制信号。

? P3 口:P3是一带有内部上拉电阻的8位双向的I/O端口。P3 口的输出缓冲能驱动4个TTL逻辑门电路。当向P3 口写1时,通过内部上拉电阻把端口拉到高电平,此时可以用作输入口。作为输入口,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出电流(IIL)。

P3 口同时具有AT89C51 的多种特殊功能,P3.0 的第二功能是串行输入口RXD,P3.1的第二功能是串行输出口TXD,P3.2的第二功能是外部中断0,

P3.3的第二功能是外部中断1,P3.4的第二功能是定时器T0,P3.5的第二功能是定时器

T1,P3.6的第二功能是外部数据存储器写选通/WR,P3.7的第二功能是外部数据存储器读选通/RD。

M法主要使用管脚为P3.0、P3.1以及P35其具体使用方法如下:

P3..0 口与寄存器74LS164的A,B端口连接,串行输出待显示的数据。

P3.1 口接移位寄存器74LS164的CLK(第8引脚),输出同步时钟信号。

P3. 5 口(即T1)输入脉冲信号。

T法主要使用管脚为P2.0 P3.0、P3.1以及P3.3。其具体使用方法如下:

P2.0 口接开关用于控制何时输出显示脉宽时间。

P3..0 口与寄存器74LS164的A,B端口连接,串行输出待显示的数据。

P3.1 口接移位寄存器74LS164的CLK(第8引脚),输出同步时钟信号。

P3. 5 口(即T1)输入脉冲信号。

2.2 译码显示电路

显示电路采用静态显示方式。频率测量结果经过译码,通过89C51 的串行口送出。串行口工作于模式0 ,即同步移位寄存器方式。这时从89C51 的RXD(P3.

0)输出数据,送至串入并出移位寄存器74164的数据输入口 A 和B ;从TXD( P3. 1)输出时钟,

送至74164的时钟输入口 CP 。74164将串行数据转换成并行数据, 进行锁存。74164输出的

8位并行数据送至8段L ED ,实现测量数据的显示。 使用这种方法主程序可不必扫描显示器,

从而单片机可以进行下一次测量。这种 方法也便于对显示位数进行扩展。

3频率计的软件设计与调试

3.1软件设计介绍

本设计过程使用到的软件有: WAVE 软件模拟器,keil uVision2,protuseo 软件设计过程:

在keil uVision2中输入所编程序,保存为以.c 为后缀的文件,新建项目, 加入刚保存的文件,编译,调试到程序编译不显示错误。在 option for target 项中 output 中选中creat

hex files ,重新编译程序,软件生成以.hex 为后缀的文件。

在protuse 软件中画出所设计的电路模拟图,加载入前面生成的以.Hex 为后 缀的文件,

运行,观察,调试数码管显示的数值,并与设置的输入信号频率作比 较,调试,分析误差产生原因,改进程序与电路图。

使用伟福软件编译所设计的c 程序,调试到正确无误。并最终通过硬件来验 证所设计的频率计是否达到先前设定的设计指标。

图示:

E

------- 串行输入

7 段 LED

并行输出

74LS164

r

Keil软件程序设计

Protuse软件模拟

Protuse是数字电路模拟常用的工具,方便易用,如图是工作窗口:

电'.NTlTLtD -K^ Pro!1;宀鼻

钿匕计心进回:6插代旳勺清式引可丟闖)祥幻

鶴船鐸■由嵐?"世£丨网

74LS1B*.IEC

讪的苗I

3.2程序框图

注:以上两流程图均只表示出程序设计的简单流程, 并且只表示出处理一次 测量的过程,多次测量重复以上步骤即可。具体细节或某些中间变量的赋值和对 程序流程的影响详细见程序注释。

3.3功能实现具体过程

M 法具体过程:T0定时50ms ,T1对方波的计数,数值串行输出和静态显 示三大部分

内容,此外还要附加延时程序以使静态显示数值稳定等。 具体描述如

下:

① T0实现50ms 定时

:

初始化程序且

TH1=0,TL1=0

1

F

延时程序,数码管显示函数

1

! 外部输入方波到INT1且=1,GATE=1,T1计时

M 法:

启动TO 定时50ms , T1对方波计数

T1停止计时,数值输

数值串行输出静态显示

②采用12 MHz 的晶体的情况下,一秒的定时已超过了定时器可提供的最大定

时值。为了实现一秒的定时,采用定时和计数相结合的方法实现。选用定时/计数器T0 作定时器,工作于方式1 产生50 ms 的定时,定时完成所得的计数值乘以20 即为所测信号频率。

③T1 计数部分:

④将定时器/计数器的方式寄存器TMOD ,用软件赋初值51H ,即01010001B。这时定时器/计数器1采用工作方式1,方式选择位C/T设为1, 即设T1为16位计数器。定时器/计数器0采用工作方式1, C/T设为0,即设TO 为16 位定时器。

⑤计算计数初值:设计数初值为m,本设计采用12 MHz的晶振。机

器周期=12X(1/晶振频率),得等式(216 m) 10 650 10 3。

⑥所以计数初值m=15536。

⑦当定时器/计数器T1 设定为计数方式时, 其计数脉冲是来源T1 端口的外部事件。当T1 端口上出现由“ 1 ”高(电平)到“0”低(电平)的负跳变脉冲时, 计数器则

加1计数。计算机是在每个机器周期的S5P2状态时采样T1端口,当前一个机器周期采样为

1 且后一个机器周期采样为0 时,计数器加1 计数。计算机需用两个机器周期来识别1 次计数,因而最大计数速率为振荡频率的1/24。在采用1

2 MHz 晶振的情况下,单片机最大计数速度为0.5 MHz 即500 kHz。

⑧另外,此处对外部事件计数脉冲的占空比(即脉冲的持续宽度)无特殊要求,但必

须保证所给出的高电平在其改变之前至少被采样1 次,即至少保持1 个完整的机器周期。由此

可见,从T1 口输入脉冲信号, T1 可实现对脉冲个数的计数。

⑨数值串行输出和静态显示

此部分用到了单片机的串行输出口P3.0与P3.1.串行口控制寄存器SCON设置为0x00,即工作方式0—同步移位寄存器输入输出方式。串行数据(计数值)通过RXD输出,而TXD用于输出移位时钟,作为5个74LS164的同步信号,74LS164 用于扩展并行输出口,这种方式下,收发的数据为8位,低位在前,五起始位、奇偶校验位及停止位, 波特率固定为振荡频率的1/12。发送过程中, 当执行一个数据写入发送缓冲器SBUF的指令时,串行口把SBUF中的8为数据以1/12的波特率从RXD (P3.0)端输出,发送完毕置中断标志TI=1,传送过程中将8位数据由低位到高位一位一位顺序通过RXD 输出,并在TXD 脚上输出fosc/12 的移位时钟。

通过编码0~9和error(错误)的代号E(即当超出量程显示E),并根据所得计数值的各位

数值,向单片机外部依次串行输出各位的编码,通过74LS164的并行输

出并且依靠人眼的视觉暂留现象能够在5位7段LED上同时显示各位的数值。具体程序编

写,详见本论文附上的程序及程序注释。

T法具体过程:由IN T I输如方波脉冲信号,T1对方波信号的高电平部分计时,计时结

果串行输出和静态显示三大部分,与M法一样,还要附加延时程序以使静态显示数值稳定等。具体描述如下:

①由而输如方波脉冲信号

方波信号通过INT T管脚输入检测,此处该管脚相当于对信号的监测,通过软件方式告之单片机哪段时间输入信号为高电平,哪段时间为低电平。以便控制T1计时的开始和停止。

②T1对方波信号的高电平部分计时

通过查询方式,当信号输入管脚而为1 (即高电平)时进行计时,设置TMOD 值为0x90,即T1为方式1的16位定时器(也可设置为计数器,效果一样),且T1受GATE位的影

响:因为GATE=1,只有INT1

为高电平且由软件使TR1置一时,才能启动定时器工作。正

因为如此,测量高电平脉宽显得精确可控。定时器计时结束则可将数值输出显示。

③计时结果串行输出和静态显示

此部分内容同M法一致,详见M法的功能实现描述。

3.4测试数据处理,图表及现象描述

根据设计的程序连接好硬件电路,使用伟福硬件仿真器和实验台进行测量。

数据处理:

a. 将输入方波的频率由小到大进行变化,并读出静态显示出的测量值与示波器

显示的测量值,比较二者的差别,分析误差随输入信号频率的变化情况及误差来源,提出改进方案。

b. 过程中要求对同一频率的输入方波进行多组测量,取平均值f或T(频率或周

期)。

软硬件连接图如下:

现象描述:

M法:示波器显示数值与静态显示的数值十分吻合,误差相当小,一般在1~10Hz 内。本测量在低频段的相对测量误差较大。增大T可以提高测量精度,但在低

频段仍不能满足要求。

T法:在低频和高频时误差较大,在1KHz到一定范围内误差很小。理论上T

法在低频段精度高。但此次设计中反映的现象却相反。初步分析为计时程序误差太大,不够合理。一个是采用的是查询方式,不易控制计时器何时开始计时和结束,另外P2.0的按键延时等,误差较大。

总体而言的误差分析:

(1)单片机计数速率的限制引起误差。被测信号频率越高,测量误差越大,且所测信号频率不能超过480 kHz。这是因为采用的是12 MHz的晶振,单片机最大计数速度为500 kHz,所以当被测信号越接近500 kHz时,测量结果与实际频率的误差就越大。而当被测信号大于500

kHz时,频率计将测不出信号频率。

(2)

(3)(2)原理上存在±1 误差。由于该设计是在计数门限时间一秒内的频率信号脉冲数,所以定时开始时的第一个脉冲和定时时间到时的最后一个脉冲信号是否被记录,存在随机性。这种误差对测量频率低的信号影响较大。由于D 触发器必须在信号的上升沿才翻转,故T0 对信号脉冲个数不存在±1%误差,而T1 计时为信号信号周期的整数倍,则存在对T1计数的± %误差,故测量精度与被测频率无关?但若取计时时间大于0.1S(实际最小时间约为0.5S),误差则小于0.001%; 若对低频信号f测量,则计时时间远大于0.1S,故误差极小?但是在高频端分频时,由于软件中断、延时等原因,会导致脉宽的测量误差增大,而频率测量误差较小(保持在

0.01%).

误差改进措施:

a. 选用频率较高和稳定性好的晶振。如选24 kHz 的晶振可使测量范围扩大,稳定性好的晶振

可以减小误差。

b. 测量频率较高的信号时,可先对信号进行分频,再进行测量。

c. 改进T 法计时程序,从根本上减小误差。

d.

4 讨论

本次设计实现了用两种方法对外部未知频率的方波信号的测量。M 法测量的设计达到了高范围(500KHz,在LED管位数足够的情况下,改进程序的显示程序部分即可)与高精度(1~10hz 一般情况下);T法设计由于部分程序的缺陷并未能实现很好的测量频率的效果,仅能测量一定范围的频率。未达到设计目标的原因详见误差分析部分。

此次设计还有很大不足,尤其是在信号的对象选择上,信号要求是方波信号。未能对任意未知波形信号进行分析测量。在今后的时间里,我们小组会继续探索单片机设计数字频率计的设计,加上信号预处理电路,改进信号频率的测量方法,提高信号显示的精度,拓展本次设计未能实现的各项频率计应当具备的要求,如可选量程,科学计数显示等等。

5 心得与建议

通过此次设计,我们小组的成员都受到了极大的锻炼,对团队合作的重要性有了深刻认识,虽然我们面对的是一个相对简单的课题,但由于初次进行基于单片机的课题设计,所以在设计过程中,我们遇到了一些困难,也经历了一次又一次的困惑,最初我们尝试着完美化我们的设计,以实现更多的功能和提高可操纵性,却没有从最基本的内容一步步做起,没有将核心部分放在首位。正如老师讲的,正确的顺序是先把核心部分做好,就像盖房子一样,先打地基——定时计数是我们这次设计的核心,然后再一步一步扩展,完善功能,向上盖房子。生活实际中确实如此,做任何事,没有打好基础,最终就不能有很好的发展。学习也是如此,对于工科的我们数学、物理等就是我们的基础,往往发展的瓶颈就在基础部分。今后,我们小组的成员会吸收

此次设计实践收获的宝贵经验,更加努力地,更加坚定地在电子科技上一步一步脚踏实地地学习进步。

6 附录

M法

#include

#define uchar unsigned char

#define uint unsigned int

uint numl,numh,f;

code uchar k[11]={0xfc,0x60,0xda,0xf2,0x66,0xb6,0xbe,0xe0,0xfe,0xf6,0x02,0x9e};

void init() // 初始化函数

{

SCON=0x00; //串行同步移位输出

TMOD=0x51; //T1 计数,T0 定时

ET0=1; //T0 中断允许

TH0=(15535)/256;

TL0=(15535)%256; //T0 定时50ms 的初值

TH1=0;

TL1=0;

IT0=1; //T1 计数的初值

TR0=1;

TR1=1; // 开始计数、定时

EA=1;

}

void delay(uint z)

{

uint x,y;

for(x=z;x>0;x--)

for(y=110;y>0;y--);

} // 延时函数,delay(200); 大约延时200ms.

void send(uchar b) // 串行输出显示函数部分一

{

SBUF=b;

while(!TI); // 发送结束标志

TI=0;

}

void display(uint x) //串行输出显示函数部分二

if(x>99999)

{

send(0x00);//0x00 表示该位不显示字符

send(0x00);

send(0x00);

send(0x00);

send(k[11]);// 程序最大测量频率定为100k ,大于此范围则显示“ E", 指示}

error。

else if((x<100000)&&(x>9999))

{

send(k[x/10000]); send(k[x%10000/1000]); send(k[x%10000%1000/100]);

send(k[x%10000%1000%100/10]); send(k[x%10000%1000%100%10]);

}

else if((x<10000)&&(x>999)) {

send(0x00);

send(k[x/1000]);

send(k[x%1000/100]);

send(k[x%1000%100/10]);

send(k[x%1000%100%10]); }

else if((x<1000)&&(x>99)) {

send(0x00);

send(0x00);

send(k[x/100]);

send(k[x%100/10]);

send(k[x%100%10]); }

else if((x<100)&&(x>9))

{

send(0x00);

send(0x00);

send(0x00);

send(k[x/10]); send(k[x%10]);

}

else

{

send(0x00);

send(0x00);

send(0x00);

send(0x00); send(k[x%10]);

}

}

void timer_0() interrupt 1 // 定时器T0 中断

{

TH0=(15535)/256; TL0=(15535)%256;

TR1=0;

TR0=0; numh=TH1; numl=TL1;

TH1=0;

TL1=0;// 恢复初始值待下一轮测量,并将结果赋值f=(numh<<8)+numl;

f=f*20;//20 表示中断20 次达到1s 得到的频率值display(f);

delay(200);

TR0=1;

TR1=1;

}

void main()

{

init(); //初始化

while(1);// 原地踏步,等待T0 溢出中断}

T法

#include

#define uchar unsigned char

#define uint unsigned int

sbit I=P3A3;

sbit d=P2A0;

uint numl,numh,f,num=0;

code uchar k[11]={0xfc,0x60,0xda,0xf2,0x66,0xb6,0xbe,0xe0,0xfe,0xf6,0x9e}; void init() //初始化函数{

SCON=0x00;// 串行同步移位输出

TMOD=0x90;//T1 设置为定时,16 位

TH1=0;

TL1=0;// 定时初值

TR1=0;// 起始时定为关定时

}

void delay(uint z)// 延时函数,delay(200); 大约延时200ms.

{

uint x,y;

for(x=z;x>0;x--) for(y=110;y>0;y--);

}

void send(uchar b) // 串行输出静态显示函数部分一

{

SBUF=b;

while(!TI);// 发送结束标志

TI=0;

}

void display(uint x)// 串行输出静态显示函数部分二

{

if(x>65536)// 根据THI,TH0 共16 位,计时范围定为65536us

{

send(0x00);

send(0x00);//0x00 表示该位不显示字符

send(0x00);

send(0x00);

send(k[10]);// 程序最大测量定时定为65536,大于此范围则显示“ E", 指示}

error 。

else if((x<=65536)&&(x>9999))

{

send(k[x/10000]); send(k[x%10000/1000]);

send(k[x%10000%1000/100]);

send(k[x%10000%1000%100/10]);

send(k[x%10000%1000%100%10]);

}

else if((x<10000)&&(x>999))

{

send(0x00);

send(k[x/1000]);

send(k[x%1000/100]);

send(k[x%1000%100/10]);

send(k[x%1000%100%10]);

}

else if((x<1000)&&(x>99))

{

send(0x00);

send(0x00);

send(k[x/100]);

send(k[x%100/10]);

send(k[x%100%10]);

}

else if((x<100)&&(x>9))

{

send(0x00);

send(0x00);

send(0x00);

send(k[x/10]);

send(k[x%10]);

}

else

{

send(0x00); send(0x00);

send(0x00);

send(0x00); send(k[x%10]);

}

}

void main()

{

init();// 初始化/*void display_us(uint x)

while(1)

{

if(I==0)// 外部输入为低电平,T1 不计时

{

num=0;

TR1=0;

if((TH1==0)&&(TL1==0))

num=0;// 非计数部分标志为num=0 ,不予显示

else

{ numh=TH1; numl=TL1;

num=1;// 低电平时,把上次计数值输出,并标志为num=1 ,给予显示

}

TH1=0;

TL1=0;// 恢复初值,以备下次计

}

else TR1=1;// 外部输入为高电平,T1 输入为高电平那段计时

if(d==0 )//由p2.0 控制是否输出显示

{ if(num==1)// 根据显示与否的标志即是否有num=1 ,为1 则输出{

f=(numh<<8)+numl;

f=f*2;// 信号周期宽度

display(f);

delay(10);

} }

}

基于单片机的简单频率计课程设计报告

《单片机原理与接口技术》课程设计报 告 频率计

1功能分析与设计目标 (1) 2频率计的硬件电路设计 (3) 2.1 控制、计数电路 (3) 2.2 译码显示电路 (5) 3频率计的软件设计与调试 (6) 3.1软件设计介绍 (6) 3.2程序框图 (8) 3.3功能实现具体过程 (8) 3.4测试数据处理,图表及现象描述 (10) 4讨论 (11) 5心得与建议 (12) 6附录(程序及注释) (13)

1功能分析与设计目标 背景: 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。为了实现智能化的计数测频,实现一个宽领域、高精度的频率计,一种有效的方法是将单片机 用于频率计的设计当中。用单片机来做控制电路的数字频率计测量频率精度高,测量频率的范围得到很大的提高。 题目要求: 用两种方法检测(Δm,△ T)要求显示单位时间的脉冲数或一个脉冲的周期。 设计分析: 电子计数式的测频方法主要有以下几种:脉冲数定时测频法(M法),脉冲周期测频法(T法),脉冲数倍频测频法(AM法),脉冲数分频测频法(AT法),脉冲平均周期测频法(M/T法),多周期同步测频法。下面是几种方案的具体方法介绍。 脉冲数定时测频法(M法):此法是记录在确定时间TC内待测信号的脉冲个数MX ,则待测频率为: FX=MXZ TC 脉冲周期测频法(T法):此法是在待测信号的一个周期TX内,记录标准频率信号变化次数MO。这种方法测出的频率是: FX=MOZTX 脉冲数倍频测频法(AM法):此法是为克服M法在低频测量时精度不高的缺陷发展起来的。通过A倍频,把待测信号频率放大A倍,以提高测量精度。其待测频率为: FX=MXZATO 脉冲数分频测频法(AT法):此法是为了提高T法高频测量时的精度形成的。由于T法测量时要求待测信号的周期不能太短,所以可通过A分频使待测信号 的周期扩大A倍,所测频率为: FX=AMO/Tx

单片机简易频率计课程设计

前言 (3) 一、总体设计 (4) 二、硬件设计 (6) AT89C51单片机及其引脚说明: (6) 显示原理 (8) 技术参数 (10) 电参数表 (10) 时序特性表 (11) 模块引脚功能表 (12) 三、软件设计 (12) 四、调试说明 (15) 五、使用说明 (17) 结论 (17) 参考文献 (18)

附录 (19) Ⅰ、系统电路图 (19) Ⅱ、程序清单 (20)

前言 单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。因此,单片机的学习、开发与应用在生活中至关重要。 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行缓慢,而且测量频率的范围比较小.考虑到上述问题,本论文设计一个基于单片机技术的数字频率计。首先,我们把待测信号经过放大整形;然后把信号送入单片机的定时计数器里进行计数,获得频率值;最后把测得的频率数值送入显示电路里进行显示。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件,并对硬件电路进行了仿真。

一、总体设计 用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量. 所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率f x。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s.闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 本系统采用测量频率法,可将频率脉冲直接连接到AT89C51的T0端,将T/C1用做定时器。T/C0用做计数器。在T/C1定时的时间里,对频率脉冲进行计数。在1S定时内所计脉冲数即是该脉冲的频率。见图1: 图1测量时序图 由于T0并不与T1同步,并且有可能造成脉冲丢失,所以对计数器T0做一定的延时,以矫正误差。具体延时时间根据具体实验确定。 根据频率的定义,频率是单位时间内信号波的个数,因此采用上述各种方案

单片机课程设计报告模板资料

哈尔滨远东理工学院 课题名称 专业班级 学号 学生姓名 指导教师 2015年10月14日

1、例举设计过程中遇到的问题及其解决方法(至少两例)。答:(1)问题说明: 解决方法: (2)问题说明: 解决方法: 2、教师现场提的问题记录在此(不少于2个问题)。

目录 1 设计任务 (1) 2设计方案 (2) 2.1任务分析 (2) 2.2方案设计 (2) 3 系统硬件设计 (3) 3.1时钟电路设计 (3) 3.2复位电路设计 (3) 3.3 灯控制电路设计 (3) 3.4 倒计时显示电路设计 (4) 3.5 按键控制电路设计 (5) 4 系统软件设计 (6) 4.1 1S定时 (6) 4.2 定时程序流程 (6) 4.3交通灯的设计流程图 (6) 4.4定时器0 及中断响应 (7) 5仿真与性能分析 (8) 6心得体会 (9) 参考文献 (10) 附录1 系统原理图 .......................................................................错误!未定义书签。附录2 系统PCB图 .....................................................................错误!未定义书签。附录3 程序清单 .. (11) II

1 设计任务 支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。如图1.1所示。设东西向为主干道,南北为支干道。 1. 基本要求 (1) 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 (2) 主、支干道均有车时,两者交替允许通行,主干道每次放行30秒,支干道每次放行20秒,设立30秒、20秒计时、显示电路。 (3) 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡。黄灯亮时,原红灯按1Hz 的频率闪烁。 (4) 要求主支干道通行时间及黄灯亮的时间均可在0~99秒内任意设置。 2. 选做 (1) 可设置紧急按钮,在出现紧急情况时可由交警手动实现全路口车辆禁行而行人通行状态,即主干道和支干道均为红灯亮。 (2) 实现绿波带。所谓‘绿波带’,是指在一定路段,只要按照规定时速,就能一路绿灯畅行无阻。“绿波带”将根据道路车辆行驶的速度和路口间的距离,自动设置信号灯的点亮时间差,以保证车辆从遇到第一个绿灯开始,只要按照规定速度行驶,之后遇到的信号灯将全是绿灯。

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

单片机课设——频率计的设计——C语言编程

沈阳工程学院 ┊┊ 课程设计 设计题目:频率计程序设计 系别自控系班级测控本091 学生姓名学号 指导教师职称教授 起止日期: 2012 年1月2日起——至2012 年1月13日止

沈阳工程学院 课程设计任务书 课程设计题目:频率计程序设计 系别自控系班级 学生姓名学号 2009308119 指导教师职称教授 课程设计进行地点: F422 任务下达时间: 2012 年 1 月 2 日 起止日期:2012年1月2日起——至2012年1月13日止教研室主任 2012 年1月2日批准

频率计的设计 1.设计主要内容及要求; 编写频率计程序。 要求:1)能够测量频率并显示。 2)能够进行闸门时间选择。 2.对设计论文撰写内容、格式、字数的要求; (1).课程设计论文是体现和总结课程设计成果的载体,一般不应少于3000字。 (2).学生应撰写的内容为:中文摘要和关键词、目录、正文、参考文献等。课程设计论文的结构及各部分内容要求可参照《沈阳工程学院毕业设计(论文)撰写规范》执行。应做到文理通顺,内容正确完整,书写工整,装订整齐。 (3).论文要求打印,打印时按《沈阳工程学院毕业设计(论文)撰写规范》的要求进行打印。 (4). 课程设计论文装订顺序为:封面、任务书、成绩评审意见表、中文摘要和关键词、目录、正文、参考文献。 3.时间进度安排;

沈阳工程学院 C8051F020单片机原理及应用课程设计成绩评定表

中文摘要 在人们的日常生活中,频率的测量无处不在。随着科学技术的发展,尤其是单片机技术和半导体技术的高速发展,频率计的研究及应用越来越受到重视,这样对频率测量设备的要求也越来越高。单片机是一门发展极快应用方式极其灵活的使用技术。他以灵活的设计、微小的功耗、低廉的成本,在数据采集、过程控制、模糊控制、智能仪表等领域得到广泛的应用,极大的提高了这些领域的技术水平和自动化程度。 在电子技术测量中,频率是最基本的参数之一,设计一种快速准确的频率计显得尤为重要。该数字频率计的设计主要实现用数字显示被测信号的频率,该设计是以51单片机作为核心,与传统频率计相比该设计具有更高的测量精度和速度,具有各种中断处理能力,并且具有丰富的数字输入输出口和通信口等。该频率计的设计在软件上编写,并采用计数式测频方法,通过单片机外围电路中由振荡电路产生的闸门信号进行计时,并对整形后的被测信号进行脉冲计数以得到被测信号的频率值。由于低频信号照成了较大的量化误差,可在测量低频信号的时候延长闸门时间信号,以提高测量精度。 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号、方波信号及其他单位时间内变化的物理量。在设计中应用单片机的数学运算和控制功能,来实现测量量程的自动切换,既满足测量精度的要求,又满足系统反应时间的要求。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显式、测量迅速、精确度高、显示直观、所以经常用到频率计。 51系列单片机是国内目前应用最广泛的一种8位单片机之一,随着嵌入式系统、片上系统等概念的提出和普遍接受及应用。51系列及其衍生单片机还会在继后很长一段时间占据嵌入式系统产品的低端市场,因此,作为新世纪的大学生,在信息产业高速发展的今天,掌握单片机的基本结构、原理和使用时非常重要的。 总之,频率计的设计是进行更深层次频率测量的基石。 关键词单片机,频率测量,分频器,硬件,软件

基于单片机的数字频率计设计

江阴职业技术学院 毕业论文 课题:基于单片机的数字频率计的设计 专业电子信息工程 学生姓名冯海洋 班级08电子信息工程(1)班 学号20080305107 指导教师张文洁 完成日期

目录 摘要?错误!未定义书签。 前言................................................................................................... 错误!未定义书签。第一章绪论............................................................................................... 错误!未定义书签。 1.1课题背景?错误!未定义书签。 1.2 课题研究的目的和意义 ................................................................. 错误!未定义书签。 1.4数字频率计设计的任务与要求?错误!未定义书签。 第二章数字频率计总体方案设计............................................................... 错误!未定义书签。 1.1方案比较 .......................................................................................... 错误!未定义书签。 1.2方案论证......................................................................................... 错误!未定义书签。 1.3方案选择......................................................................................... 错误!未定义书签。 第三章数字频率计的硬件系统设计........................................................... 错误!未定义书签。 3.1数字频率计的硬件系统框架...................................................... 错误!未定义书签。 3.2 数字频率计的主机电路设计?错误!未定义书签。 3.3数字频率计的信号输入电路设计................................................... 错误!未定义书签。 3.4数字频率计显示电路的设计 ........................................................... 错误!未定义书签。 3.5数字频率计的计数电路的设计?错误!未定义书签。 3.6数字频率计电源模块的设计?错误!未定义书签。 第四章数字频率计软件系统设计?错误!未定义书签。 4.1 软件设计规划................................................................................. 错误!未定义书签。 4.1.1信号处理............................................................................ 错误!未定义书签。 4.1.2中断控制................................................................................. 错误!未定义书签。 4.2.1定时器/计数器?错误!未定义书签。 4.2.2定时工作方式0..................................................................... 错误!未定义书签。 4.3程序流程图设计................................................................................ 错误!未定义书签。

智能小车单片机课程设计报告

题目: 智能小车设计 打开命令行终端的快捷方式: ctr+al+t:默认的路径在家目录 ctr+shift+n:默认的路径为上一次终端所处在的路径. linux@ubuntu:~$ linux:当前登录用户名. ubuntu:主机名 :和$之间:当前用户所处在的工作路径. windows下的工作路径如C:\Intel\Logs linux下的工作路径是:/.../..../ ~:代表的是/home/linux这个路径.(家目录). ls(list):列出当前路径下的文件名和目录名. ls -a(all):列出当前路径下的所有文件和目录名,包括了隐藏文件. .:当前路径 ..:上一级路径 ls -l:以横排的方式列出文件的详细信息 total 269464(当前这个路径总计所占空间的大小,单位是K) drwxr-xr-x 3 linux linux 4096 Dec 4 19:16 Desktop 第一个位置:代表的是文件的类型. linux系统下的文件类型有以下几种. b:块设备文件 c:字符设备文件 d:directory,目录 -:普通文件. l:连接文件. s:套接字文件. p:管道文件. rwxr-xr-x:权限 r:读权限-:没有相对应的权限 w:写权限

x:可执行权限 修改权限: chmod u-或者+r/w/x 文件名 chmod g-或者+r/w/x 文件名 chmod o-或者+r/w/x 文件名 第一组:用户权限 第二组:用户组的权限 第三组:其他用户的权限. chmod 三个数(权限) 文件名 首先根据你想要的权限生成二进制数,再根据二进制数转换成十进制的三位数 rwxr-x-wx 111101011 7 5 3 chmod 753 文件名 rwx--xr-x 第二个位置上的数字:对应目录下的子文件个数,如果是非目录,则数字是1 第三个位置:用户名(文件创造者). 第四个位置:用户组的名字(前边的用户所处在的用户组的名字). 第五个位置:对应文件所占的空间大小(单位为b) 第六~八个位置:Dec 4 19:16时间戳(最后一次修改文件的时间) 最后一个位置:文件名 操作文件: 1.创建一个普通文件:touch 文件名 2.删除一个文件:rm(remove) 文件名 3.新建一个目录:mkdir(make directory) 目录名 递归创建目录:mkdir -p 目录1/目录2/目录3 4.删除一个目录:rmdir 目录名.//仅删除一个空目录 rm -rf 目录名//删除一个非空目录 5.切换目录(change directory):cd 路径 linux下的路径分两种 相对路径:以.(当前路径)为起点. 绝对路径:以/(根目录)为起点, 用相对路径的方式进入Music:cd ./Music 用绝对路径的方式进入Desktop:cd /home/linux/Desktop 返回上一级:cd ..

基于单片机的定时闹钟课程设计报告书

任务书 一、设计目的 本设计主要是对51单片机的一个方面的扩展,是能实现一般定时闹钟功能的设计。需要实现某一功能时,按对应的按键即可,经过多次验证,此设计灵活简便,可以实现显示、定时、修改定时、定时时间到能发出报警声的功能。 二、设计要求 1、能显示时时—分分—秒秒。 2、能够设定定时时间,并修改定时时间。 3、定时时间到能发出警报声。

目录 1.绪论 (1) 2.方案论证 (1) 3.方案说明 (2) 4.硬件方案设计 (2) 4.1单片机STC89C52 (2) 4.2 时钟电路 (4) 4.3数码管显示电路 (4) 4.4键盘电路 (6) 4.5报警电路 (7) 5.软件方案设计 (7) 5.1系统软件设计 (7) 5.2键盘程序 (7) 5.3 LED (8) 5.4音响报警电路 (8) 5.5 程序流程图 (8) 6.调试 (9) 7.小结 (10) 8.参考文献 (11) 9.附录:定时闹钟源程序 (12)

1.绪论 系统采用单片机STC89C52作为本设计的核心元件,在其基础上外围扩展芯片和外围电路,附加时钟电路,复位电路,键盘接口及LED显示器。键盘采用独立连接式。还有定时报警系统,即定时时间到,通过扬声器发出报警声,提示预先设定时间时间到,从而起到定时作用。 外围器件有LED显示驱动器及相应的显示数字电子钟设计与制作可采用单片机来完成。由于其功能的实现主要通过软件编程来完成,那么就降低了硬件电路的复杂性,而且其成本也有所降低,所以在该设计与制作中采用单片机STC89C52,它是低功耗、高性能的CMOS型8位单片机。片内带有8KB的Flash 存储器,且允许在系统内改写或用编程器编程。另外, STC89C52的指令系统和引脚与8051完全兼容,片内有512B的RAM、32条I/O口线、3个16位定时计数器、4个外部中断、一个7向量4级中断结构(兼容传统51的5向量2级中断结构)等。 在LED显示器中,分成静态显示和动态显示两类,在这个设计的最小系统中主要用了它的动态显示功能,动态显示器利用了人视觉的短暂停留,在数据的传输中是一个一个传输的,且先传输低位。 2.方案论证 单片机作为核心的控制元件,使得电路的可靠性比较高,功能也比较强大,而且可以随时的更新系统,进行不同状态的组合。 本系统采用单片机STC89C52作为本设计的核心元件,利用两个4位7段共阴LED作为显示器件。接入共阴LED显示器,可显示时,分钟,秒,单片机外围接有定时报警系统,定时时间到,蜂鸣器发出报警声,提示预先设定时间到。 电路由下列部分组成:时钟电路、复位电路、控制电路、LED显示、报警电路,芯片选用STC89C52单片机。 系统基本框图如图2.1所示:

基于51单片机的数字频率计课程设计

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月 关于毕业论文使用授权的声明

本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

基于5单片机的数字频率计设计

基于5单片机的数字频率计设计

毕业论文基于51单片机的数字频率计 基于51单片机的数字频率计 目录 第1节引言 (2) 1.1数字频率计概 述…………………………………………… (2) 1.2频率测量仪的设计思路与频率的计 算…………………………………………… (2) 1.3基本设计原 理…………………………………………… (3) 第2节数字频率计(低频)的硬件结构设计 (4) 2.1系统硬件的构成 (4) 2.2系统工作原理图 (4) 2.3AT89C51单片机及其引脚说明…………………………………………………

(5) 2.4信号调理及放大整形模块 (7) 2.5时基信号产生电路 (7) 2.6显示模块 (8) 第3节软件设计 (12) 3.1 定时计数 (12) 3.2 量程转换 (12) 3.3 BCD转换 (12) 3.4 LCD显示…………………………………………………

(12) 第4节结束语 (13) 参考文献 (14) 附录汇编源程序代码 (15) 基于51单片机的数字频率计 第1节引言 本应用系统设计的目的是通过在“单片机原理及应用”课堂上学习的知识,以及查阅资料,培养一种自学的能力。并且引导一种创新的思维,把学到的知识应用到日常生活当中。在设计的过程中,不断的学习,思考和同学间的相互讨论,运用科学的分析问题的方法解决遇到的困难,掌握单片机系统一般的开发流程,学会对常见问题的处理方法,积累设计系统的经验,充分发挥教学与实践的结合。全能提高个人系统开发的综合能力,开拓了思维,为今后能在相应工作岗位上的工作打下了坚实的基础。 1.1数字频率计概述 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 本数字频率计将采用定时、计数的方法测量频率,采用一个1602A LCD显示器动态显示6位数。测量范围从1Hz—10kHz的正弦波、方波、三角波,时基

单片机课程设计报告

课程设计报告 课程名称单片机原理及应用 设计题目电子琴的设计 专业班级自动化1142 姓名周太永 学号1104421242 指导教师蔡长青张卓 起止时间2014.6.23-2014.7.11 成绩评定 考核内容设计 表现 设计 报告 答辩 综合 评定 成绩 电气与信息学院

2013/2014学年第二学期 《单片机控制系统设计与调试》课程设计任务书 指导教师:蔡长青班级:自动化1141、2班 地点:机房、单片机实验室(实训中心415) 课程设计题目:基于单片机原理的电子琴设计 一、课程设计目的 1.灵活运用单片机的基础知识,依据课程设计内容,能够完成从硬件电路图设计, 到PCB制版,再到软件编程及系统调试实现系统功能,完成课程设计,加深对单片机基础知识的理解,并灵活运用,将各门知识综合应用。 2.能够上网查询器件资料,培养对新知识新技术的独立的学习能力和应用能力。 3.独立完成一个小的系统设计,从硬件设计到软件设计,增强分析问题、解决问 题的能力,为日后的毕业设计及科研工作奠定良好的基础。 二、课程设计内容(包括技术指标) 1.焊接。认真、仔细,避免缺焊、漏焊。 2.频率计算。会计算脉冲值与频率的关系。 3.工作过程。开机时,第一步是对定时器T0进行初始化,设定它的工作状态(对 于本系统将T0设定为工作方式0);然后判断是否有键按下,如果没有按键按下,继续判断,如果有按键按下,则判断是哪个键按下;再根据按键的功能将计数初值装入定时器T0中中并启动T0,当T0定时完毕后,重新装入计数初值继续定时并将P3.3取反,再次定时完毕后再一次的装入计数初值 继续定时并将P3.3取反,一直循环此操作直到按键释放为止,按键释放后 停止T0工作并再次判断是否又有按键按下,并继续执行以前的过程。 三、时间安排 1.布置任务、查资料1天 2.硬件电路图设计及PCB制版3天 3.硬件电路图及PCB制版验收、电路板焊接1天 4.软件编程设计3天 5.系统调试3天 6.调试验收1天 7.完成设计报告3天 四、基本要求 1.画出硬件电路图,完成PCB制版; 2.画出软件流程图,编写程序(C51语言/汇编语言); 3.完成系统调试; 4.提交设计报告。

单片机课程设计报告模板

单片机系统课程设计报告 专业:自动化 学生姓名: 学号: 指导教师: 完成日期:2011 年 3 月17 日

目录 1 设计任务和性能指标 (3) 1.1设计任务............................................................................ 错误!未定义书签。 2 设计方案 (4) 2.1任务分析 (4) 2.2方案设计 (4) 3 系统硬件设计 (5) 3.1时钟的电路设计 (5) 3.2复位电路设计 (5) 3.3灯控电路设计 (5) 3.4倒计时电路设计 (6) 3.5按键控制电路设计 (7) 4 系统软件设计 (8) 4.11秒定时 (8) 4.2定时程序流程 (8) 4.3交通灯的设计流程图 (9) 4.4定时器0与中断响应 (10) 5 仿真及性能分析 (10) 5.1仿真结果图 (11) 5.2仿真结果与分析 (12) 6 心得体会 (13) 参考文献 (14) 附录1 系统原理图 (15) 附录2 系统PCB图 .................................................................. 错误!未定义书签。附录3 程序清单 (17)

1.1设计任务 利用单片机完成交通信号灯控制器的设计,该交通信号灯控制器由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。如图5.1所示。设东西向为主干道,南北为支干道。 图5.1 交通灯示意图 1. 基本要求 (1) 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干 道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 (2) 主、支干道均有车时,两者交替允许通行,主干道每次放行30秒, 支干道每次放行20秒,设立30秒、20秒计时、显示电路。 (3) 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡。 黄灯亮时,原红灯按1Hz 的频率闪烁。 (4) 要求主支干道通行时间及黄灯亮的时间均可在0~99秒内任意设置。 2. 选做 (1) 可设置紧急按钮,在出现紧急情况时可由交警手动实现全路口车辆 禁行而行人通行状态,即主干道和支干道均为红灯亮。 (2) 实现绿波带。所谓‘绿波带’,是指在一定路段,只要按照规定时速, 就能一路绿灯畅行无阻。“绿波带”将根据道路车辆行驶的速度和路口间的距离,自动设置信号灯的点亮时间差,以保证车辆从遇到第一个绿灯开始,只要按照规定速度行驶,之后遇到的信号灯将全是绿灯。 南 北 东 西

基于AT89C52单片机的简易频率计设计说明书

单片机系统开发与应用工程实习报告 选题名称:基于AT89C52单片机的简易频率计设计 系(院): 专业:计) 班级: 姓名:学号: 指导教师: 学年学期: 2009 ~ 2010 学年第 2 学期 2010 年 5 月 30 日

摘要: 在电子技术中,频率是一个经常用到的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。本项目主要阐述了以AT89C52单片机作为核心器件,采用模块化布局,设计一个简易数字频率计,以达到测量频率并进行显示的目的。本项目利用单片机的内部定时器溢出产生中断来实现定时,把单片机内部的定时/计数器0作为定时器,实现2.5ms定时。外部待测脉冲从单片机的TI(第15引脚)输入,以定时/计数器1作为计数器,利用中断方式来达到间接测量的目的。最后采用四位数码管显示。本设计采用C语言进行软件编程,用keil软件进行调试。最后把调试成功后的程序固化到AT89C52单片机中,接到预先焊好的电路板上,接上待测脉冲,通电运行,数码管成功显示待测脉冲频率。 关键词:单片机;频率计;AT89C52

目录 1 项目综述 (1) 1.1 设计要求 (1) 1.2 系统设计 (1) 2硬件设计 (2) 2.1 电路原理图 (2) 2.2 元件清单 (2) 2.3 主要芯片引脚说明 (3) 3 软件设计 (4) 3.1 程序流程图 (4) 3.2 软件设计简述 (5) 3.3 程序清单 (6) 4 系统仿真及调试 (10) 4.1 硬件调试 (10) 4.2 软件调试 (10) 5 结果分析 (10) 总结 (11) 参考文献 (12)

单片机开发课程设计报告书模板2015

安康学院单片机课程设计报告书 课题名称:简易秒表设计 姓名:李岩 学号:2012020134 院系:电子与信息工程系 专业:电子信息工程 指导教师: 时间:2015年1月

课程设计项目成绩评定表设计项目成绩评定表

课程设计报告书目录 设计报告书目录 一、设计目的 (1) 二、设计思路 (1) 三、设计过程 (1) 3.1、系统总体方案 (1) 3.2、模块电路设计 (1) 四、系统调试与结果 (3) 五、主要元器件与设备 (3) 六、课程设计体会与建议 (3) 6.1、设计体会 (3) 6.2、设计建议 (3) 七、参考文献 (4)

一、设计目的 1、熟悉单片机定时计数器的工作原理 2、掌握C51语言编程方法。 3、熟悉数码管显示原理 4、熟悉按键工作原理。 二、设计思路 1、设计数码管显示电路。 2、设计按键电路。 三、设计过程 3.1、系统总体方案 数字抢答器总体方框图如图1所示。 其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。 3.2、模块电路设计 抢答器电路如图2所示。 图2 数字抢答器电路 该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。 工作过程:开关S置于“清除”端时,RS触发器的R端均为0,4个触发器输出置0,使74LS148的ST=0,使之处于工作状态。当开关S置于“开始”时,

AT89C51简单频率计课程设计

目录 1功能分析与设计目标 (1) 2 频率计的硬件电路设计 (3) 2.1 控制、计数电路 (3) 2.2 译码显示电路 (5) 3 频率计的软件设计与调试 (6) 3.1 软件设计介绍 (6) 3.2 程序框图 (8) 3.3 功能实现具体过程 (8) 3.4 测试数据处理,图表及现象描述 (10) 4 讨论 (11) 5 心得与建议 (12) 6 附录 (13)

1功能分析与设计目标 背景: 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。为了实现智能化的计数测频,实现一个宽领域、高精度的频率计,一种有效的方法是将单片机用于频率计的设计当中。用单片机来做控制电路的数字频率计测量频率精度高,测量频率的范围得到很大的提高。 题目要求: 用两种方法检测(Δm ,ΔT )要求显示单位时间的脉冲数或一个脉冲的周期。 设计分析: 电子计数式的测频方法主要有以下几种:脉冲数定时测频法(M法),脉冲周期测频法(T法),脉冲数倍频测频法(AM法),脉冲数分频测频法(AT法),脉冲平均周期测频法(M/T法),多周期同步测频法。下面是几种方案的具体方法介绍。 脉冲数定时测频法(M法):此法是记录在确定时间Tc内待测信号的脉冲个数Mx,则待测频率为: Fx=Mx/ Tc 脉冲周期测频法(T法):此法是在待测信号的一个周期Tx内,记录标准频率信号变化次数Mo。这种方法测出的频率是: Fx=Mo/Tx 脉冲数倍频测频法(AM法):此法是为克服M法在低频测量时精度不高的缺陷发展起来的。通过A倍频,把待测信号频率放大A倍,以提高测量精度。其待测频率为: Fx=Mx/A To 脉冲数分频测频法(AT法):此法是为了提高T法高频测量时的精度形成的。由于T法测量时要求待测信号的周期不能太短,所以可通过A分频使待测信号

基于单片机的频率计的设计

摘要 本方案主要以单片机为核心,主要分为时基电路,逻辑控制电路,放大整形电路,闸门电路,计数电路,锁存电路,译码显示电路七大部分,设计以单片机为核心,被测信号先进入信号放大电路进行放大,再被送到波形整形电路整形,把被测的正弦波或者三角波整形为方波。利用单片机的计数器和定时器的功能对被测信号进行计数。编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示。 本设计以89C51单片机为核心,应用单片机的算术运算和控制功能并采用LED数码显示管将所测频率显示出来。系统简单可靠、操作简易,能基本满足一般情况下的需要。既保证了系统的测频精度,又使系统具有较好的实时性。本频率计设计简洁,便于携带,扩展能力强,适用范围广。 关键词:单片机,运算,频率计,LED数码管

Abstract The program mainly microcontroller as the core, are divided into time-base circuit, the logic control circuit, amplifier shaping circuit, the gate circuit, the counting circuit, latch circuit, decoding circuit most of the seven shows, design a microcontroller as the core, the measured signal the first amplifier to amplify the incoming signal, and then was sent to the waveform shaping circuit surgery, the measured sine wave or triangle wave shaping as a square wave. Counter and timer microchip features of the signal count. Write the corresponding program can automatically adjust the measurement range of SCM, and the frequency of the measured data to the display circuit displays. The design of the 89C51 microcontroller core, microcontroller applications and control functions and arithmetic operations with LED digital display tube to the measured frequency is displayed. System is simple, reliable, easy to operate and can basically meet the general needs. Both to ensure the accuracy of the system frequency measurement, but also the system has good real-time. The frequency meter design is simple and easy to carry, expansion capability, wide application. Key words:microcontroller, operation, frequency meter, LED digital tube

相关主题