搜档网
当前位置:搜档网 › 用共阴数码管制作的数字钟

用共阴数码管制作的数字钟

用共阴数码管制作的数字钟
用共阴数码管制作的数字钟

电子技术课程设计报告题目:用共阴数码管制作的数字钟

姓名:

学号:

班级:

小组成员:

成绩:________________________

目录

一、引言 (2)

二、方案选择 (2)

2.1 设计要求 (2)

2.2 系统框图 (3)

2.3 设计过程 (3)

三、电路仿真与设计 (4)

3.1所需芯片及芯片管脚图 (5)

3.2时、分、秒显示电路模块设计 (7)

3.3星期显示电路模块设计 (7)

3.4校时电路模块设计 (8)

3.5秒信号电路模块设计 (9)

3.6数码管显示电路模块设计 (9)

3.7综合电路 (10)

四、电路调试及实物照片 (11)

4.1电路调试 (11)

4.2实物照片 (11)

五、存在的问题 (11)

六、课程设计心得体会 (12)

附录:

元件清单

参考资料

一、引言

中国是世界上最早发明计时仪器的国家,目前市场上提供的无论是机械钟还是石英钟在晚上无照明的情况下都是不可见的。要知道当前的时间,必须先开灯,故较为不便。而电子钟却可以夜光显示,它以六只LED数码管来显示时分秒,而且这类电子钟一般是采用大型显示器件,适用于银行、车站等公共场所。这种新型的电子钟因其方便、直观的特点也得到了社会的欢迎,在社会上占有相当一部分市场。

数字电子钟是日常生活中常见的一种工具,大到机场等公共场所的时间屏幕,小到我们的手表、闹钟等,因此,了解电子钟的工作原理是很有必要的,也很有趣,因此我们选择了这个题目------用共阴数码管制作的数字钟。

虽然电子钟在日常生活中很常见,看起来也比较简单,但是其中包含的学问很多。在这个项目中,校时是一个很重要的模块,既要可以正常校时,又不能干扰到时间计数显示模块,而时间显示比较简单,用熟悉的芯片就可以做出来了。

这次课程设计的选题不仅可以加深我们对数字电子技术课程的理解,也可以提高自己的动手能力以及实际问题中解决问题的能力,培养对电子技术的兴趣。

二、方案选择

2.1设计要求

1.用秒脉冲作信号源,构成数字钟,显示秒、分、时

2.具有“对时”功能,即时间可以快速预置

3. 个人加入星期显示

2.2系统框图

2.3设计过程

时间显示模块电路可以用3个CD4518作为核心芯片,进行级联,再辅以若干逻辑门,完成进位、置零等功能,CD4518是双十进制计数器,有两个时钟输入端,正好可以满足进位和校时的功能,而不会产生干扰,且有一个置零功能,可以组成六十进制和二十四进制的计数器。

星期显示模块电路用1个74LS160作为核心芯片,加上三个与非门,完成置1等功能。74LS160是模10的8421BCD码计数器,异步清零,同步置数,可以满足1到7的循环。

秒信号发生电路用32.768KHz的晶振、电容、电阻组成的振荡电路以及用CD4060、CD4518组成的分频电路组成。晶振频率稳定度高,CD4060是14级2进制计数器,经过它分频可得到2Hz的信号,然后经CD4518分频得到秒信号。

校时电路以两个与非门组成的RS触发器为核心,辅以电阻,单刀双掷开关,电源组成。由于机械开关有抖动现象,因此用RS触发器作为去抖动电路。每来回拨动一次开关,产生一个计数脉冲,实现校时功能。

三、电路设计与仿真

3.1所需管脚图

CD4518 CD4060

74LS160 74LS00(74LS08)

74LS48 数码管

7474

芯片介绍:

1CLK、2CLK:时钟输入端。

1RST、2RST:清除端。

1EN、2EN:计数允许控制端。

1Q0~1Q3:计数器输出端。

2Q0~2Q3:计数器输出端。

CD4518是一个双BCD同步加计数器,由两个相同的同步4级计数器组成。

CD4518控制功能:

CD4518有两个时钟输入端CP和EN,若用时钟上升沿触发,信号由CP输入,此时EN端为高电平(1),若用时钟下降沿触发,信号由EN输入,此时CP端为低电平(0),同时复位端Cr 也保持低电平(0),只有满足了这些条件时,电路才会处于计数状态.否则没办法工作。

CD4060引脚功能如下:

CP1:时钟输入端

CP0:时钟输出端

CP0:反相时钟输出端

Q4~Q10,Q12~Q14:计数器输出端

Q14:第14级计数器反相输出端

VDD:电源正

VSS:电源负

CR:清零端

CD4060由一振荡器和14级二进制串行计数器位组成,振荡器的结构可以是RC或晶振电路,CR为高电平时,计数器清零且振荡器使用无效。所有的计数器位均为主从触发器。在CP1(和CP0)的下降沿计数器以二进制进行计数。在时钟脉冲线上使用斯密特触发器对时钟上升和下降时间无限制。

74LS160引脚功能如下:

RCO 进位输出端

ENP 计数控制端

Q A-Q D输出端

ENT 计数控制端

C L K时钟输入端

CLR 异步清零端(低电平有效)

LOAD 同步并行置入端(低电平有效)

74LS160 为可预置的十进制同步计数器,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=E T=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。

这是一个内部拥有四个独立的二输入与非门电路

其逻辑功能是,有0出1,全1出0

7448引脚功能如下:

7448是七段显示译码器,输入A3 、A2 、 A1和 A0接收四位二进制码,输出a~g为高电平有效,可直接驱动共阴极显示器,三个辅助控制端、、,以增强器

件的功能,扩大器件应用。当他们都为1时,译码器各段a~g输出的电平是由输入代码决定的,并且满足显示字形的要求。

共阴极数码管是把所有LED的阴极连接到共同接点com,而每个LED的阳极分别为a、b、c、d、e、f、g及dp(小数点)。图中的8个LED分别和上面那个图中的A~DP各段相对应,通过控制各个LED的亮灭来显示数字。

7474引脚功能如下:

7474是双上升沿D触发器(有预置、清除端)。74LS74内含两个独立的D上升沿双d 触发器,每个触发器有数据输入(D)、置位输入()复位输入()、时钟输入(CP)和数据输出(Q、)。、的低电平使输出预置或清除,而与其它输入端的电平无关。

当、均无效(高电平式)时,符合建立时间要求的D数据在CP上升沿作用下传送到输出端。把其中的一个D触发器的Q非输出端接到D输入端,时钟信号输入端CLOCK 接时钟输入信号,这样每来一次CLOCK脉冲,D触发器的状态就会翻转一次,每两次CLOCK 脉冲就会使D触发器输出一个完整的正方波,这就实现了2分频。

3.2时、分、秒显示电路模块设计

整个电路的的核心芯片是CD4518,它是一个双10进制加法计

数器,因此只需要三个芯片,进行级联即可实现两个六十进制和一个

二十四进制计数器,再加上一些合适的逻辑门,实现置零和进位。

上图是秒显示电路设计图,左边为秒个位,右边为秒十位,秒个位的电路中置零引脚必须接地,这是因为CMOS的引脚不能悬空,否则会影响实验结果,2CLK接秒脉冲信号,考虑到秒个位计数到9的时候必须进位,所以用一个与非门提供一个进位信号至秒十位的时钟输入端,秒十位另一个时钟输入端接高电平,当秒十位计数器计到5时,在输出为0110时提供一个信号到秒十位计数器的置零端,使其实现0110——0000,即六十进制。

分计数显示电路设计原理与秒计数显示电路接近,分个位的时钟输入端接来自秒十位的置零信号,另外一个时钟输入端接高电平,分十位的原理也是一样的。

下图是时计数显示电路设计图,与分、秒不同的是,这一块是24进制,当时十位为0、1的时候,时个位正常从0—9显示;当时十位为2时,要求时个位的显示是0、1、2、3,然后就回到0,因此在置零这一部分接法不同于分、秒计数显示电路,考虑到当时计数器为23时必须变为00,即当时十位输出为0010、时个位输出为0100时,分别变为0000、0000,因此可用一个与门实现,按如图的接法,并且注意到时十位和时个位都必须置零。

3.3星期显示电路模块设计

与时分秒电路都不同,星期显示电路需要从1到7循环显示。这就要用的74LS160的置数功能,当输出为0111时,给置数端一个信号进行置数,这需要用的三输入与非门,考虑到其他芯片用的都是两输入与非门,故从经济角度考虑,采用3个两输入与非门。电路图如下

3.4校时电路模块设计

校时模块的设计思路如图所示,使用两个与非门组成的RS触发器为核心,辅以电阻,单刀双掷开关,电源组成。每来回拨动一次开关,产生一个计数脉冲,实现校时功能。由于不清楚图中开关名称,改用单刀双掷开关,使得校时变得麻烦。

3.5秒信号电路模块设计

秒信号发生电路用32.768KHz的晶振、电容、电阻组成的振荡电路以及用CD4060、7474组成的分频电路组成。晶振频率稳定度高,CD4060是14级2进制计数器,经过它分频可得到2Hz的信号,然

后经7474分频得到秒信号。电路图如下所示

3.6数码管显示电路模块设计

用共阴极数码管显示,由于所用数码管不自带译码器,所以需用74LS48驱动。74LS48是共阴极译码器,它能把8421BCD码译成数码管显示的a-g,再由数码管显示相应的数字。电路图如下所示

3.7综合电路

四、电路调试及实物照片

4.1电路调试

在调试过程中,遇到几个问题:

1、秒信号时有时无,后检查出是因为秒信号电路里的元件接触不良。换了一块面包板重新连接,问题解决。

2、显示星期的数码管亮只能亮一个循环,首先检查了数码管电路。发现无错。然后检查计数器部分。发现74LS160的输入端接线错误,改正之后,问题解决。

3、学校的数码管好多都是坏的,试了好几块,问题解决。

4、开关接触不良,需要人拿手按住。

5、晶振不工作,发现是匹配电阻太小,换了一个1MΩ的大电阻后,成功起振,问题解决。

经过调试,整个电路的功能实现了。

4.2实物照片

五、存在问题

完成了课程设计的基本要求,但是这个电子钟是没有实用价值的,现实中不可能用这么多芯片去生产一个普通的电子钟,但这是学习的一种途径。

六、分工与合作

在做课设的前期,两人分别查找各个芯片资料,经过研究和参考数电电路设计书等,设计出整个电路,并经过调试仿真得出正确结果。

开始做课设,杨安琪负责采购原件,有些元件没有,郭靖瑶负责修改电路使新电路在现有基础上可行。

制作实物期间,杨安琪负责连接与检查电路,郭靖瑶负责则调试与验证电路。

附录:

元件清单

参考文献:

《数字电子技术基础》侯建军主编

七段数码管显示

七段数码管显示设计报告 目录 一、设计任务 二、题目分析与整体构思 三、硬件电路设计 四、程序设计 五、心得体会

一.设计任务 数码的显示方式一般有三种:第一种是字型重叠式;第二种是分段式;第三种是点阵式。目前以分段式应用最为普遍,主要器件是七段发光二极管(LED)显示器。它可分为两种,一是共阳极显示器(发光二极管的阳极都接在一个公共点上),另一是共阴极显示器(发光二极管的阳极都接在一个公共点上,使用时公共点接地)。 数码管动态扫描显示,是将所用数码管的相同段(a~g 和p)并联在一起,通过选位通 信号分时控制各个数码管的公共端,循环依次点亮各个数码管。当切换速度足够快时,由于人眼的“视觉暂留”现象,视觉效果将是数码管同时显示。 根据七段数码管的显示原理,设计一个带复位的七段数码管循环扫描程序,本程序需要着重实现两部分: 1. 显示数据的设置:程序设定4 位数码管从左至右分别显示1、2、3、4; 2. 动态扫描:实现动态扫描时序。 利用EXCD-1 开发板实现七段数码管的显示设计,使用EXCD-1 开发板的数码管为四位共阴极数码管,每一位的共阴极7 段数码管由7 个发光LED 组成,7 个发光LED 的阴极连接在一起,阳极分别连接至FPGA相应引脚。四位数码管与FPGA 之间通过8 位拨码开关(JP1)进行连接。 二.题目分析与整体构思 使用EXCD-1 开发板的数码管为四位共阴极数码管,每一位的共阴极7 段数码管由7 个发光LED 组成,呈“”字状,7 个发光LED 的阴极连接在一起,阳极分别连接至FPGA 相应引脚。SEG_SEL1、SEG_SEL2、SEG_SEL3 和SEG_SEL4 为四位7 段数码管的位选择端。当其值为“1”时,相应的7 段数码管被选通。当输入到7 段数码管SEG_A~ SEG_G 和SEG_DP 管脚的数据为高电平时,该管脚对应的段变亮,当输入到7 段数码管 SEG_A~SEG_G 和SEG_DP 管脚的数据为低电平时,该管脚对应的段变灭。该四位数码管与FPGA 之间通过8 位拨码开关(JP1)进行连接,当DIP 开关全部拨到上方时(板上标示为:7SEGLED),FPGA 的相应IO 引脚和四位7 段数码管连接,7 段数码管可以正常工作;当DIP 开关全部拨到下方时(板上标示为:EXPORT5),FPGA 的相应IO引脚与7 段数码管断开,相应的FPGA 引脚用于外部IO 扩展。 注意:无论拨码开关断开与否,FPGA 的相应IO 引脚都是与外部扩展接口连接的,所 以当正常使用数码管时,不允许在该外部扩展接口上安装任何功能模块板。 数码管选通控制信号分别对应4 个数码管的公共端,当某一位选通控制信号为高电平时,其对应的数码管被点亮,因此通过控制选通信号就可以控制数码管循环依次点亮。一个数码管稳定显示要求的切换频率要大于50Hz,那么4 个数码管则需要50×4=200Hz 以上的切换频率才能看到不闪烁并且持续稳定显示的字符。 三.硬件电路设计 设计结构图如下:

单片机两位数码管计数设计1

目录 一、设计目的 (4) 二、设计要求 (4) 三、设计电路图 (4) 四、设计说明 (5) 1、数码管的显示原理 (5) 2、晶振的作用 (5) 五、参考程序框图: (6) 六、参考代码…………………………………………………7-9 七、设计时使用的主要参考书及手册 (9) 八、设计心得: (9)

两位数码管计数 一、设计目的: 1. 学习外部中断技术的基本使用方法。 2. 学习中断处理程序的编程方法。 3. 学习51单片机内部计数器的使用和编程方法。 4. 学习使用数码管的显示原理以及应用。 二、设计要求: 按开关开始,在按开关停止计秒,计秒从0开始,讲到99,再从0开始计秒。按下复位键开关,数码管就会显示0. 三、设计电路连线:

四、设计说明: 1、数码管的显示原理: @单片机系统扩展LED数码管时多用共阳LED: 共阳数码管每个段笔画是用低电平(“0”)点亮的,要求驱动功率很小;而共阴数码 管段笔画是用高电平(“0”)点亮的,要求驱动功率较大。 @通常每个段笔画要串一个数百欧姆的降压电阻。 字形0123456789黑共阳0C00F90A40B09992820F880900FF 共阴FC60da F266B6BE E0FE F600 计时计算: fosc= 12MHz 则: (振荡周期)1Tc=1/12MHz (机器周期)1Tm=12Tc=12/12MHz=1 S 故选择方式1 工作可以得到: 则初值为:3CB0H 2、晶振的作用 晶振的作用是为系统提供基本的时钟信号。晶振两边的电容叫负载电容,单片机的晶振 工作于并联谐振状态,晶振的频率是在负载电容下测得的,能最大限度的保证频率值的误差。 也能保证温漂等误差。两个电容的取值都是相同的,或者说相差不大,如果相差太大,容易 造成谐振的不平衡,容易造成停振或者干脆不起振. 五、参考程序框图:

七段码数字钟课程设计讲解

目录 1 系统概述 (2) 1.1 数字钟的设计目的 (2) 1.2 基本内容及目标 (2) 2 方案论证 (3) 2.1 数字钟设计方案论证 (3) 2.2 数码管显示原理 (3) 2.3 控制任务要求 (4) 3 硬件设计 (4) 3.1 系统的原理方框图(略) (4) 3.2 主电路设计 (4) 3.3 I/O接点地址分配 (5) 3.4 编程元器件选型及地址分配 (6) 4 软件设计 (7) 4.1 主流程图 (7) 4.2 PLC梯形图 (8) 5 系统调试结果分析 (19) 设计心得 (20) 参考文献 (21)

1 系统概述 本设计共分五大章:第一章是系统概述,介绍了PLC系统概述和设计目的、设计内容以及实现的目标。第二章是方案论证,即数码管数字电子钟设计方案与工作原理介绍及应用。第三章是硬件设计,即数字电子钟的主电路设计及元器件的选型,进一步清楚的了解其内部结果和工作原理。第四章是软件设计,即数字电子钟的主流程及梯形图程序,第五章是系统调试,即硬件软件调试结果及结果分析等。设计心得即是本设计所取得的成果及其设计意义。针对本设计在制作过程所参考文献及资料的统一说明及介绍。 1.1 数字钟的设计目的 本系统采用计数器、显示器和校时电路组成。由LED数码管来显示PLC所输出的信号。总体方案设计由主体电路和扩展电路两大部分组成,其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。 1.2 基本内容及目标 1.1.1 PLC控制系统的基本内容包括如下几点 (1) 选择用户输入、输出设备以及输出设备驱动的控制对象,这些设备属于一般的电气元件,选择方法请参考其他有关资料。 (2) PLC的选择:PLC是控制系统的核心部件,对于保证整个控制系统的技术经济性能指标起着重要作用。选择PLC,应包括机型、容量、I/O点数、电源模块以及特殊功能模块的选择等。 (3) 设计控制程序:主电路、梯形图、控制系统流程图等。控制程序是控制整个系统工作的软件,是保证系统工作正常、安全可靠的关键,因此控制程序的设计必须经过反复调试、修改,直到符合要求为止。 (4) 编制系统的技术文件:包括说明书、电气图及电气元件明细表等。传统的电气图,一般包括电气原理图、电器布置图及电气安装接线图。 1.1.2 设计的实现目标 本设计运用SIMEINS S7—200软件控制系统为基础,设计了PLC电子时钟的梯形图。学习PLC的最终目的是能把它应用到实际控制系统中去,若遇到实际的工业控制项目,需用PLC进行控制,应如何着手去设计一个控制系统。

LED七段数码管数字钟1

《微机原理综合实验》 课程设计 学院:机电学院 班级: 12机械师 姓名:周汉斌 学号: 2012095644010 指导老师:覃孟扬

目录 一、设计任务书.................................. 错误!未定义书签。 二、设计题目 (3) 三、设计方案 (3) 四、硬件原理 (3) 1.七段数码管显示 (3) 2.键盘扫描显示 (5) 3.8253计数器和8259中断 (5) 4.硬件连接 (6) 五、程序流程图及程序清单 (6) 1.七段数码管显示 (8) 2. 键盘扫描显示 (9) 3.定时器设计 (12) 4.总程序设计 (15) 六、调试过程及结果 (29) 七、设计总结和体会 (30) 八、参考文献 (31)

一、设计题目 LED七段数码管数字钟: 1.设计并完成LED七段数码管数字钟电路。 2.数字钟显示格式为:HH:MM:SS。 3.具有通过键盘能够调整时、分、秒的功能。 二、设计方案 本设计采用LAB6000伟福仿真实验箱,利用4MHz脉冲信号源和多级分频电路产生脉冲信号,4MHz脉冲信号经过F/64分频后得到62.5KHz脉冲信号,将脉冲信号传递给8253定时器,定时器每0.000016秒中断一次,在中断服务程序中对中断次数进行计数,0.000016秒计数62500次就是1秒,然后在对秒计数得到分和小时值,并送入显示缓冲区,用总线方式控制数码管显示。同时,利用实验箱提供的键盘扫描电路和显示电路来调整时、分、秒。 三、硬件原理 1.七段数码管显示 图1. 七段数码管 七段数码管的字型代码表如下表:

显示字形g f e d c b a 段码 0 0 1 1 1 1 1 1 3fh 1 0 0 0 0 1 1 0 06h 2 1 0 1 1 0 1 1 5bh 3 1 0 0 1 1 1 1 4fh 4 1 1 0 0 1 1 0 66h 5 1 1 0 1 1 0 1 6dh 6 1 1 1 1 1 0 1 7dh 7 0 0 0 0 1 1 1 07h 8 1 1 1 1 1 1 1 7fh 9 1 1 0 1 1 1 1 6fh A 1 1 1 0 1 1 1 77h B 1 1 1 1 1 0 0 7ch C 0 1 1 1 0 0 1 39h D 1 0 1 1 1 1 0 5eh E 1 1 1 1 0 0 1 79h F 1 1 1 0 0 0 1 71h 表1. 段数码管的字型代码表 图2. 八段数码LED显示电路 实验箱提供了6位八段数码LED显示电路,只要按地址输出相应数据,就可以实现对显示器的控制。将KEY/LED CS接到CS0上,则实验箱中八位段码输出地址为08004H,位码输出地址为08002H。

数字时钟设计实验报告

电子课程设计题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ?振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ?分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。其电路图如下: 图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下:

7段数码管显示电路

4.4 显示模块 4.4.1 7段数码管的结构与工作原理 7段数码管一般由8个发光二极管组成,其中由7个细长的发光二极管组成 数字显示,另外一个圆形的发光二极管显示小数点。 当发光二极管导通时,相应的一个点或一个笔画发光。控制相应的二极管导通,就能显示出各种字符,尽管显示的字符形状有些失真,能显示的数符数量也有限,但其控制简单,使有也方便。发光二极管的阳极连在一起的称为共阳极数码管,阴极连在一起的称为共阴极数码管,如图4.9所示。 4.4.2 7段数码管驱动方法 发光二极管(LED 是一种由磷化镓(GaP )等半导体材料制成的,能直接将电能转变成光能的发光显示器件。当其内部有一一电流通过时,它就会发光。 7段数码管每段的驱动电流和其他单个LED 发光二极管一样,一般为5~10mA ;正向电压随发光材料不同表现为1.8~2.5V 不等。 7段数码管的显示方法可分为静态显示与动态显示,下面分别介绍。 (1) 静太显示 所谓静态显示,就是当显示某一字符时,相应段的发光二极管恒定地寻能可截止。这种显示方法为每一们都需要有一个8位输出口控制。对于51单片机,可以在并行口上扩展多片锁存74LS573作为静态显示器接口。 静态显示器的优点是显示稳定,在发光二极管导通电注一定的情况下显示器的亮度高,控制系统在运行过程中,仅仅在需要更新显示内容时,CPU 才执行一次显示更新子程序,这样大大节省了CPU 的时间,提高了CPU 的工作效率;缺点是位数较多时,所需I/O 口太多,硬件开销太大,因此常采用另外一种显示方式——动态显示。

(2)动态显示 所谓动态显示就是一位一位地轮流点亮各位显示器(扫描),对于显示器的每一位而言,每隔一段时间点亮一次。虽然在同一时刻只有一位显示器在工作(点亮),但利用人眼的视觉暂留效应和发光二极管熄 灭时的余辉效应,看到的却是多个字符“同时”显示。显示器亮度既与点亮时的导通电流有关,也与点亮时间和间隔时间的比例有关。调整电流和时间参烽,可实现亮度较高较稳定的显示。若显示器的位数不大于8位,则控制显示器公共极电位只需一个8位I/O 口(称为扫描口或字位口),控制各位LED 显示器所显示的字形也需要一个8位口(称为数据口或字形口)。 动态显示器的优点是节省硬件资源,成本较低,但在控制系统运行过程中,要保证显示器正常显示,CPU 必须每隔一段时间执行一次显示子程序,这占用了CPU 的大量时间,降低了CPU 工作效率,同时显示亮度较静态显示器低。 综合以上考虑,由于温度显示为精确到小数点后两位,故只需4个数码管,又考虑到CPU 工作效率与电源效率,本毕业设计采用静态显示。为共阳极显示。 4.4.3 硬件编码 动74LS47是一款BCD 码转揣为7段输出的集成电路芯片,利用它可以直接驱动共阳 极的7段数码管。它的引脚分部和真值表分别下图。

用数码管显示实时日历时钟的应用设计

(用数码管显示实时日历时钟的应用设计)

摘要 本课题通过MCS-51单片机来设计电子时钟,采用汇编语言进行编程,可以实现以下一些功能:小时,分,秒和年,月,日的显示。本次设计的电子时钟系统由时钟电路,LED显示电路三部分组成。51单片机通过软件编程,在LED数码管上实现小时,分,秒和年,月,日的显示;利用时钟芯片DS1302来实现计时。本文详细介绍了DS1302 芯片的基本工作原理及其软件设计过程,运用PROTEUS软件进行电路连接和仿真,同时还介绍了74LS164,通过它来实现I|O口的扩展。 关键词:时钟芯片,仿真软件,74LS164 目录 前言 0.1设计思路 (8) 0.2研究意义 (8)

一、时钟芯片 1.1 了解时钟芯片……………………………………………….8-9 1.2 掌握时钟芯片的工作原理………………………………….10-11二、74LS164 2.1 了解74LS164........................................................11-12 2.2 掌握的74LS164工作原理. (12) 三、数码管 3.1 熟悉常用的LED数码管...........................................12-13 3.2 了解动态显示与静态显示. (13) 四、程序设计 4.0 程序流程图 (14) 4.1 DS1392的驱动.......................................................15-16 4.2 PROTUES实现电路连接. (17) 4.3 数码管的显示:小时;分;秒 (18) 4.4 数码管显示:年;月;日 (19) 五、总结…………………………………………………………………..20-21 六、附页程序………………………………………………………………22-31前言

PLC_30秒倒计时钟-七段码译码指令

实训题目:三十秒钟倒计时钟——七段码译码指令 一、实训目的 1. 掌握PLC的基本逻辑指令; 2. 训练PLC编程的思想和方法; 3. 应用PLC技术将继电接触器控制系统改造为PLC控制系统; 4. 掌握七段码译码指令SEGD。 二、实训器材 1.可编程控制器1台(FX2N型); 2.按钮开关2个常开; 3.实训控制台; 4.计算机1台(已安装编程软件); 5.数码显示器2个; 6.连接导线若干。 三、实训内容与指导 1. 控制要求:将三十秒钟倒计时钟改造为PLC控制系统。 2. I/O分配:根据系统控制要求,确定PLC的I/O(输入输出口)。 3. 系统接线:根据系统控制要求和I/O点分配,画出电动机的系统接线图。 4. 程序设计:根据控制要求,设计梯形图程序。 5. 系统调试: (1)输入程序:通过计算机梯形图正确输入PLC中。 (2)静态调试:按PLC的I/O接线图正确连接好输入设备,进行PLC的模拟静态调 试,观察PLC的输出指示灯是否按要求指示,否则,检查并修改程 序,直至指示正确。 (3)动态调试:按PLC的I/O接线图正确连接好输出设备,进行系统的空载调试, 设计一个三十秒钟倒计时钟。接通控制开关,两个数码管分别显示 “2”、“9”,即“29”。随后每隔1s,显示数字减1,减到“0”、“0” 时,返回“29”继续1s减1,断开控制开关停止显示。否则,检查 电路或修改程序,直至符合控制要求。 (4)修改、打印并保存程序:动态调试正确后,练习删除、复制、粘贴、删除连线、 绘制连线、程序传送、监视程序、设备注释等操作,最 后,打印程序(指令表及梯形图)并保存程序。 四、实训报告 1. 实训总结 实训之前,先要认清自己是否弄懂了“算数运算的四则运算指令”。然后通过加减乘除指令和七段码译码指令把所要的结果算出来,这就需要一定的理论基础知识以及听课的认真

用数码管显示的可调式数字钟Proteus仿真

仿真电路: 仿真程序: #include #include #define uint unsigned int #define uchar unsigned char //------共阳极数码管段码--------- uchar code table[]={0xC0,0xF9,0xA4,0xB0,0x99, 0x92,0x82,0xF8,0x80,0x90}; uchar dat[]={0,0,0xbf,0,0,0xbf,0,0}; uchar h,m,s,ms; uchar scan_which; uchar index; uchar key_state; //----------延时----------

void delay(uint t) { uint i; while(t--) for(i=0;i<120;i++); } void hour() { if(++h>23) h=0; dat[0]=table[h/10]; dat[1]=table[h%10]; } void min() { if(++m>59) { m=0; hour(); } dat[3]=table[m/10]; dat[4]=table[m%10]; } void second() { if(++s>59) { s=0; min(); } dat[6]=table[s/10]; dat[7]=table[s%10]; }

void main() { IE=0x8a; TMOD=0x11; TCON=0x01; TH0=(65536-1000)/256; TL0=(65536-1000)%256; TH1=(65536-50000)/256; TL1=(65536-50000)%256; h=17; m=50; s=ms=0; dat[0]=table[h/10]; dat[1]=table[h%10]; dat[3]=table[m/10]; dat[4]=table[m%10]; dat[6]=table[s/10]; dat[7]=table[s%10]; scan_which=0xfe; index=0; TR0=1; TR1=1; key_state=0xfe; while(1) { if(P1^key_state) { delay(10); if(P1^key_state) { key_state=P1; EA=0; if((key_state & 0x01)==0) hour();

6位7段数码管时钟显示汇编程序

ORG 0000H AJMP MAIN ORG 000BH AJMP IT0P ORG 0040H MAIN: ;主程序 MOV P0,#0FFH ;数码管初始状态都是8 < MOV P1,#0FFH ;选中所有的数码管 MOV SP,#60H MOV TMOD,#01H MOV IE,#82H MOV 30H,#14H ;存放定时循环次数单元20次 MOV 40H,#00H ;存放时的数据单元 MOV 41H,#00H ;存放分的数据单元 MOV 42H,#00H ;存放秒的数据单元 、 MOV TH0,#3CH

MOV TL0,#0B0H ;定时50ms*20 SETB TR0 LOOP: ACALL DISPLAY ;调用显示子程序 AJMP LOOP DISPLAY: ;数码管显示子程序SECONDGE: SETB & MOV A,42H ANL A,#0FH ACALL SEG ACALL DELAY1MS CLR SECONDSHI:SETB MOV A,42H SWAP A @ ANL A,#0FH ACALL SEG ACALL DELAY1MS CLR MINUTEGE: SETB MOV A,41H ANL A,#0FH ACALL SEG { ACALL DELAY1MS CLR MINUTESHI:SETB MOV A,41H SWAP A ANL A,#0FH ACALL SEG ACALL DELAY1MS ! CLR HOURGE: SETB MOV A,40H ANL A,#0FH ACALL SEG ACALL DELAY1MS CLR HOURSHI: SETB —

数码管完整版

例程18.数码管显示实验 数码管是一种半导体发光器件,其基本单元是发光二极管。数码管按段数分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管单元(多一个小数点显示),本实验所使用的是八段数码管。按发光二极管单元连接方式分为共阳极数码管和共阴极数码管。共阳数码管是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的数码管。共阳数码管在应用时应将公共极COM 接到+5V,当某一字段发光二极管的阴极为低电平时,相应字段就点亮。当某一字段的阴极为高电平时,相应字段就不亮。共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM 接到地线GND 上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮。当某一字段的阳极为低电平时,相应字段就不亮。 数码管的每一段是由发光二极管组成,所以在使用时跟发光二极管一样,也要连接限流电阻,否则电流过大会烧毁发光二极管的。本实验用的是共阴极的数码管,共阴数码管在应用时应将公共极接到GND,

当某一字段发光二极管的阳极为低电平时,相应字段就点熄灭。当某一字段的阳极为高电平时,相应字段就点亮。介绍完原理, 我们开始准备实验用元器件。 八段数码管*1 220Ω直插电阻*8 面包板*1 面包板跳线*1 扎 我们参考实物连接图按原理图连接好电路。

数码管共有七段显示数字的段,还有一个显示小数点的段。当让数码管显示数字时,只要将相应的段点亮即可。例如:让数码管显示数字1,则将b、c 段点亮即可。将每个数字写成一个子程序。在主程序中每隔2s 显示一个数字,让数码管循环显示1~8 数字。每一个数字显示的时间由延时时间来决定,时间设置的大些,显示的时间就长些,时间设置的小些,显示的时间就短。 参考程序源代码:

两位数码管显示

课程设计说明书 课程名称:《单片机技术》 设计题目:两位数码管显示设计 学院:电子信息与电气工程学院 学生姓名: 学号: 专业班级: 指导教师: 2014年6 月13日

课程设计任务书

两位数码管显示 摘要:两位数码管显示设计是基于AT89S52单片机的两位数码显示系统,通过AT89S52进行控制,利用汇编语言编写两位数码管循环扫描动态显示的专用程序来实现两位数码管动态显示系统。系统主要由电源模块、复位模块、外部时钟电路模块、数码管显示模块构成。电源电路通过桥堆2W10和三端稳压器7805将交流电压变为5V的直流电压。复位电路由电容与按键的并联来实现。时钟通过外部12M的晶振来控制。两位数码管显示由两个共阳极的三极管进行驱动。将汇编语言编写的两位数码管动态显示程序写入单片机,使数码管相应段点亮,同时利用人眼的视觉暂留的特性和数码管的余辉效应,在扫描频率足够高时,人眼无法感觉数码管的变化,从而实现数码管的动态显示。 关键词:AT89S52;两位数码管;7805;2W10;动态显示

目录 1.设计背景 (1) 1.1单片机的应用背景 (1) 1.2共阳极数码管的显示方法 (1) 1.3共阳极数码管的驱动设计 (1) 2.设计方案 (1) 2.1方案一:共阳极数码管静态显示 (1) 2.2方案二:共阳极数码管动态显示 (2) 2.3数码管静态与动态显示的优缺点比较 (3) 3.方案实施 (3) 3.1电源电路设计 (3) 3.2复位及震荡电路 (4) 3.3单片机接口 (4) 3.4软件设计 (4) 3.5调试仿真 (6) 4.结果与结论 (7) 4.1设计结果 (7) 4.2设计结论 (7) 5.收获与致谢 (7) 6.参考文献 (8) 7.附件 (8)

数字电路课程设计——数字钟

四川工业科技学院 电子信息工程学院课程设计专业名称:电子信息工程 课程名称:数字电路课程设计 课题名称:自动节能灯设计 设计人员:蔡志荷 指导教师:廖俊东 2018年1月10日

《模拟电子技术课程设计》任务书 一、课题名称:数字钟的设计 二、技术指标: (1)掌握数字钟的设计、组装和调试方法。 (2)熟练使用proteus仿真软件。 (3)熟悉各元件的作用以及注意事项。 三、要求: (1)设画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系。 (2)设计各个功能模块的电路图,加上原理说明。 (3)选择合适的元器件,设计、选择合适的输入信号和输出 方式,确保电路正确性。 指导教师:廖俊东 学生:蔡志荷 电子信息工程学院 2018年1月10日

课程设计报告书评阅页 课题名称:数字钟的设计 班级:15级电子信息工程4班 姓名:蔡志荷 2018年1月10日指导教师评语: 考核成绩:指导教师签名: 20 年月

目录 摘要 (1) 第1章设计任务与要求 (2) 1.1 设计指标数字钟简介 (2) 1.2 具体要求 (2) 1.3 设计要求 (3) 第2章元件清单及主要器件介绍 (4) 2.1 元件清单 (4) 2.2 主要器件介绍 (4) 2.2.1 74LS90计数 (4) 2.2.2 74LS47 (5) 2.2.3 七段数码显示器 (7) 第3章设计原理与电路 (8) 3.1 计时电路 (8) 3.1.1 计秒、计分电路 (8) 3.1.2 计时电路 (10) 3.2 校时电路 (11) 3.2.1 报时锁存信号 (13) 3.2.2 报时 (13) 第4章仿真结果及误差分析 (15) 4.1 实验结果 (15) 4.2 实时分析 (15) 第5章设计总结 (16) 参考文献 (17)

电子钟四位数码管电路原理图

程序: #include #define uchar unsigned char #define uint unsigned int uchar num[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; uchar t,s=0,m=11,h=0,qian=0,bai=0,shi=0,ge=0,s0=0,m0=0,h0=0,qian0=0,bai0=0,shi0=0,ge0=0,n1=0; sbit key1=P1^0; //功能 sbit key2=P1^1; //加一 sbit key3=P1^2; //减一 sbit key4=P1^3; //查看秒数 bit mm=0; sbit beep=P2^3; uint a; void delay_1ms(uint z) { uint x,y; for(x=z;x>0;x--) for(y=110;y>0;y--); } void delay(uint i) { uint j; for(;i>0;i--) for(j>19;j>0;j--);

} /*void clock() { for(a=0;a<=50;a++) { beep=1; delay_1ms(200); beep=0; delay_1ms(200); } }*/ void timer () interrupt 1 { TH0=(65536-50000)/256; TL0=(65536-50000)%256; t++; if(t==20) { s++;t=0; if(s==60) { m++;s=0; if(m==60) { h++;m=0; if(h==24) h=0; } } } } void display(uchar h,uchar m,uchar s) { qian=h/10; bai=h%10; shi=m/10; ge=m%10; P0=num[qian]; P2=0xef; delay_1ms(2); P0=0xff; P0=num[bai];

用单片机AT89C51设计一个2位的LED数码显示作为“秒表”

一、设计题目和要求 (3) 二、设计目的 (4) 三、设计内容 (5) 四、课程设计心得体会 (21) 五、参考文献 (22) 六、课程设计指导教师评审标准及成绩评定 (23) 七、附件 (24)

一、设计题目和要求: 题目三:秒表 应用AT89C51的定时器设计一个2位的LED数码显示作为“秒表”:显示时间为00~99s,每秒自动加1,设计一个“开始”键,按下“开始”键秒表开始计时。设计一个“复位”键,按下“复位”键后,秒表从0开始计时。 任务安排:李座负责绘制电路原理图;梁宗林负责收集资料及电子版整理;付忠林负责程序和仿真。

1.进一步掌握AT89C51单片机的结构和工作原理; 2.掌握单片机的接口技术及外围芯片的工作原理及控制方法; 3.进一步掌握单片机程序编写及程序调试过程,掌握模块化程序设计方法; 4.掌握PROTEUS仿真软件的使用方法; 5.掌握LED数码管原理及使用方法。 6.掌握定时器、外部中断的设置和编程原理。 7.通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。 8.该课程设计通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统,拥有正确的计时、暂停、清零、复位功能,并同时可以用数码管显示。

了解8051芯片的的工作原理和工作方式,使用该芯片对LED数码管进行显示控制,实现用单片机的端口控制数码管,显示分、秒,并能用按钮实现秒表起动、停止、清零功能,精确到1秒。 AT89C51单片机的主要工作特性: ·内含4KB的FLASH存储器,擦写次数1000次; ·内含28字节的RAM; ·具有32根可编程I/O线; ·具有2个16位可编程定时器; ·具有6个中断源、5个中断矢量、2级优先权的中断结构; ·具有1个全双工的可编程串行通信接口; ·具有一个数据指针DPTR; ·两种低功耗工作模式,即空闲模式和掉电模式; ·具有可编程的3级程序锁定定位; AT89C51的工作电源电压为5(1±0.2)V且典型值为5V,最高工作频率为24MHz. AT89C51各部分的组成及功能:

四位数码管显示的数字钟设计与实现

四位数码管显示的数字钟设计与实现 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ 时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。 数字钟的工作原理 晶体振荡器电路晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。图3-2所示电路通过cmos非门构成的输出为方波的数字式晶体振荡电路,这个电路中,cmos非门u1与晶体、电容和电阻构成晶体振荡器电路,u2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。电容c1、c2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。 由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。晶体XTAL 的频率选为32768HZ。该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数。从有关手册中,可查得C1、C2均为30pF。当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施。由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为10M。较高的反馈电阻有利于提高振荡频率的稳定性。非门电路可选74HC00。 #include #define uchar unsigned char uchar code shuzi[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0xc0};//0 1 2 3 4 5 6 7 8 9 0

实验四八位七段数码管动态显示电路的设计

八位七段数码管动态显示电路的设计 一、 实验目的 1、 了解数码管的工作原理。 2、 学习七段数码管显示译码器的设计。 3、 学习VHDL 的 CASE 语句及多层次设计方法。 二、 实验原理 七段数码管是电子开发过程中常用的输出显示设备。在实验系统中使用的是两个四位 一体、共阴极型七段数码管。其单个静态数码管如下图 4-4-1所示。 数码管与之相么。四位一体的七段数 数码管的位选信号端口。 八个数码管 个数码管分别由各自的位选信号来控制, 被选通的数码管显示数据,其余关闭。 三、 实验内容 本实验要求完成的任务是在时钟信号的作用下, 通过输入的键值在数码管上显示相应的 键值。在实验中时,数字时钟选择 1024HZ 作为扫描时钟,用四个拨动开关做为输入,当四 个拨动开关置为一个二进制数时,在数码管上显示其十六进制的值。 四、 实验步骤 1、 打开QUARTUSI 软件,新建一个工程。 2、 建完工程之后,再新建一个 VHDL File ,打开VHDL 编辑器对话框。 3、 按照实验原理和自己的想法,在 VHDL 编辑窗口编写 VHDL 程序,用户可参照光盘中 提供的示例程序。 4、 编写完VHDL 程序后,保存起来。方法同实验一。 5、 对自己编写的VHDL 程序进行编译并仿真,对程序的错误进行修改。 6、 编译仿真无误后,根据用户自己的要求进行管脚分配。分配完成后,再进行全编译 一次,以使管脚分配 生效。 7、 根据实验内容用实验导线将上面管脚分配的 FPGA f 脚与对应的模块连接起来。 如果是调用的本书提供的 VHDL 代码,则实验连线如下: CLK FPGA 寸钟信号,接数字时钟 CLOCK3并将这组时钟设为 1024HZ 。 由于七段数码管公共端连接到 I b ,当数码管的中的那一个段被输入高电 、 e 、 d 、 c 、 b 、 a 的

PLC课程设计_七段码数字钟

电气控制与 PLC 课程设计 题 目: 七段码数字钟 院系名称: 电气工程学院 专业班级: XXXXXXXXXX 学生姓名: XXXXXX 学 号: XXXXXXXXXXXX 指导教师: XXXXXX

目录 1 系统概述 (1) 1.1 设计目的 (1) 1.2 控制任务 (1) 1.3 实现目标 (1) 2 方案论证 (2) 2.1 控制方案选择 (2) 2.2 数码管显示原理 (2) 3 硬件设计 (5) 3.1 系统的原理方框图 (5) 3.2 主电路 (5) 3.3 I/O分配 (6) 3.4 I/O接线图 (7) 3.5 元器件选型 (8) 4 软件设计 (8) 4.1 程序流程图 (8) 4.2 梯形图 (10) 5 系统调试 (15) 设计心得 (16) 参考文献 (17) 附录 (18)

1 系统概述 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 1.1 设计目的 通过设计与实践,制作出具有准确显示小时、分、秒的数字钟,且可以校时。 1.2 控制任务 电子钟显示的内容通常有月、日、星期、时、分、秒等。本系统只显示时、分、秒 ,采用七段显示器显示各位数字 ,显示数值的范围如表1-1所示。表格括号中的数字表示显示的数字范围。 表1-1 电子钟显示内容与数值 (1)由PLC控制的大型数字电子钟由6个7段L E D发光管组成。 (2)左边两个数码管显示0~23小时,中间两个数码管显示00~60分,后边两个发光二极管显示秒(即每秒闪烁一次)。 (3)显示时、分、秒。 (4)时、分、秒中间间隔的“:”用LED灯(24V)实现,并保持一直亮着的状态。 (5)开始状态时,显示为00:00:00,启动以后开始计时。 1.3 实现目标 1.进行总体设计规划,合理分配I/O点,并绘出电气控制线路的原理草图。 2.绘制电气原理图,计算并选择电器元件。 3.编写PLC软件清单并进行模拟调试。 4.编写课程设计说明书。

用数码管(8位)显示的数字时钟程序

用数码管(8位)显示的数字时钟程序 用数码管(8位)显示的数字时钟,由于是在开发板上写的程序,所以51单片机的一些I/O口设定并不完全一样,以下程序仅供参考 #include sbit dula=P2^6; //段选锁存器 sbit wela=P2^7; //位选锁存器 sbit key1=P3^2; //INT0 选择键 sbit key2=P3^4; //T0 时间增加 sbit key3=P3^6; //S4 时间减少 char a=0,hour=0,minute=0,seconed=0; char h1,h2,m1,m2,s1,s2; char num=0; char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; void delay(char z) { char x,y; for(x=z;x>0;x--) for(y=110;y>0;y--); } void display_hour(char h) //显示小时 { h1=h/10; h2=h%10; wela=1; P0=0xfe; //1111 1110 wela=0; P0=0xff; dula=1; P0=table[h1]; dula=0; delay(5); wela=1; P0=0xfd; //1111 1101 wela=0; P0=0xff; dula=1; P0=table[h2];

delay(5); } void display_minute(char m) //显示分钟{ m1=m/10; m2=m%10; wela=1; P0=0xf7; //1111 0111 wela=0; P0=0xff; dula=1; P0=table[m1]; dula=0; delay(5); wela=1; P0=0xef; //1110 1111 wela=0; P0=0xff; dula=1; P0=table[m2]; dula=0; delay(5); } void display_seconed(char s) //显示秒{ s1=s/10; s2=s%10; wela=1; P0=0xbf; //1011 1111 wela=0; P0=0xff; dula=1; P0=table[s1]; dula=0; delay(5); wela=1; P0=0x7f; //0111 1111

两位数码管显示电路

EDA设计论文 题目 学院 专业班级 学生姓名 指导教师 2016年 1 月10 日

目录 摘要 (3) Abstract (4) 第1章绪论 (5) 1.1 概述 (5) 1.2 设计的目的 (5) 1.3 设计的基本内容 (1) 第2章 EDA、Verilog HDL简介 (2) 2.1 EDA技术 (2) 2.1.1 EDA技术的概念 (2) 2.1.2 EDA技术的特点 (2) 2.1.3 EDA设计流程 (2) 2.2硬件描述语言(Verilog HDL) (7) 2.2.1 Verilog HDL简介 (3) 2.2.2 Verilog HDL语言的特点 (3) 第3章两位数码管的动态显示电路的设计过程 (4) 3.1 系统需求分析 (4) 3.2 设计原理 (4) 3.3 MAXII晶体管说明 (5) 3.4 编写代码 (6) 3.5 管脚分配 (8) 第4章系统仿真 (9) 结论 (10) 参考文献 (11) 附录 (12) 致谢 (13) \

摘要 在信息时代的今天,单片机技术应用越来越广泛,涉及各行各业,也渗透到人们的日常生活之中,如洗衣机、空调、冰箱等的控制系统,就可以用单片机实现。为了让人们很直观的了解相关设备当前的工作状态,很多时候需要将当前的时间、温度、工作程序等状态通过数码管显示出来,这就涉及到单片机的数码管显示技术。在实际应用中,单片机的数码管显示,正确、高效应用数码管显示技术设计成功与否的一个关键问题之一。本文介绍了通过学习版开设计两位数码管的显示十六进制数。采用汇编进行编程,可以实现从00到FF的十六进制数的循环显示。在Quartus Ⅱ软件平台上,完成了两位数码管的显示电路的设计。采用Verilog HDL硬件描述语言描述两位数码管的显示电路,完成对电路的功能仿真。 关键词:两位数码管的显示 Quartus Ⅱ状态机循环

相关主题