搜档网
当前位置:搜档网 › windows8及8.1激活教程(手把手)

windows8及8.1激活教程(手把手)

windows8及8.1激活教程(手把手)
windows8及8.1激活教程(手把手)

一、前期准备工作

1、拨打电话软件(推荐使用Skype),一定要插上耳麦

2、录音软件或者使用手机录音

3、VPN软件

二、激活操作步骤方法

1、在桌面左下角的微软LOGO图标上点击鼠标右键,点击命令提示符(管理员)或者按键盘Win图标键 + X 键,再按A键

2、点击是

3、管理员:命令提示符界面

4、输入 slmgr -pk 回车(注:鼠标右键复制粘贴上去即可)

5、点击确定

6、输入 slmgr.vbs -ipk xxxx-xxxx-xxxx-xxxx 回车(注:xxxx 代表密钥的意思)

7、点击确定

8、输入 slui 4 回车(注:鼠标右键复制粘贴上去即可)

9、选择英国

10、点击下一步

11、把安装ID:下面的 9组数字截图或者手动记录好

12、用 Skype 拨打英国电话(使用Skype之前先记得链接 VPN 选择英国线路,不然打不出去)

然后你会听到下面的内容,你要做得就是电脑操作:

Thank you for calling Microsoft. For security purposes, please enter the following number using your touch phone pad. Please enter the number, XXX

如果输入正确,则输入完后一秒钟后

Thank you, success. Please hold while I transfer you to our activation system.

(谢谢,成功了。请不要挂机,我将转接你到我们的激活系统)

若输错三次,则有以下的回馈

Unfortunately, you are unable to verify. Please call back and try again. (不幸的是,你无法被确认【是人还是电脑】。请重新打回来,然后再试一遍)

接下来是女声:

Welcome to Microsoft Product Activation。

(欢迎来到微软产品激活中心)

Please press 1 as a home user, and 2 if you are a business customer with a volume license agreement。

(家庭用户(专业版)请按1,如果你是一个批量许可协议的企业客户(企业版)请按2)

这里有两种情况需要注意

情况1:你是 Windows Professional 非VL 的按1

情况2:你是 Windows Professional VL 或 Windows Enterprise 的按2

情况1:你是 Office Professional Plus 非VL 的按1

情况2:你是 Office Professional Plus VL 的按2

(这里很重要,若选错了,最后它不会给你密钥,而是告诉你Was not Verify)如果你选按的是2,然后这里接着再按下1

Some business licences require activation. If you want to activate your Microsoft products, press 1. To activate remote desktop or terminal services product, please press 2. If you require a volume licence key for your licencing agreement, please press 3. For question related to the volume licence service center, press 4. To help us to process your enquiry, please have all valuable agreement information to hang, such as your agreement and organization number, and also your live ID. (一些商用用户需要激活。如果你要激活你的Microsoft产品,按1。要激活一个远程桌面或者终端服务的产品,请按2。如果你需要一个批量授权的密钥加进你正在使用的授权许可,请按3。与批量授权服务中心有关的问题,按4。如果你需要我们帮助你进行咨询,请提供所有有用的许可信息,比如说你的许可或是机构编号,以及你的授权ID)

接下来是选按1或选按2后的操作步骤

To activate, you must have your installation numbers from your activation wizard screen。

(要激活电脑,你必须要有从电脑的激活向导上得来的激活号码)

If you are familiar with this process, you may press the hash key to skip any instructions。

(如果您熟悉这个过程中,你可以按#号键跳过了)

No personal information is collected during activation。

(在激活过程中不会收集何个人信息)

If you have your ID to hand and are ready to proceed, please press the hash key。

(如果你的手上有激活 ID,并且准备好继续激活,请按 #号键)

开始输入ID,可以直接复制粘贴到Skype窗口

To repeat this message, press the star key(重复此消息,请按 *号键)Please enter the first group now (请输入第一组数字)

Thank you! And the second group (谢谢,请输入第二组数字)

Thank you! And the third group (谢谢,请输入第三组数字)

Thank you! And the fourth group (谢谢,请输入第四组数字)

Thank you! And the fifth group (谢谢,请输入第五组数字)

Thank you! And the sixth group (谢谢,请输入第六组数字)

Thank you! And the Seventh group (谢谢,请输入第七组数字)

Thank you! Now enter the eighth group (谢谢,现在,请输入第八组数字)

Thank you! To finish ,enter the final group (谢谢,请输入最后一组组数字以完成输入)

Please wait while your confirmation number is generated (请稍候,

您的激活码正在生成)

(如果输入不正确,系统会说Sorry, I could not receive all of the digit. 还会说An error was detected, please repeat your last entry.等等)等她说完后再重复输多一次错误的那组。

接下来我这会有3种情况:

(1)Key 失效的情况,请更换 Key。

We are unable to activate your product。

(我们无法激活您的产品)

It is possible that you are a victim of software piracy。

(您可能是盗版软件的受害者。)

In order to check if your product is original, please click on the weblink wwwmicrosoftcom/genuine。

(查询您的产品是否为正版(原版),请登录网址

wwwmicrosoftcom/genuine。)

To obtain the licensed product,please return to your Microsoft reseller。(获取合法(被许可)的产品,请到您的微软经销商。)

To repeat the message, please press the star key。

(要重复信息,请按 *号键。)

No entry was detected。

(没有检测到信息输入)

We are now ending your call

(现在我们结束您的电话)

(2) Key 有效的情况

On how many computers is your product currently installed?

(您的激活密钥安装在了几台电脑上?)

Press One if you have installed this product on one computer。

(如果您把这个密钥安装在一台电脑上,请按1)

Press Two if you have install this product on more than one computer。(如果您不只把这个密钥安装在一台电脑上,请按2)

* 这个时候别犹豫,按下1,如果安装 RSkype Recorder 现在就可以开始录音~

We will now continue to activate your product。

(现在,我们将继续以激活您的产品)

Your entry was accepted, now you will hear the confirmation ID readout。(你输入的数据被接受了,现在你将听到确认 ID)

Starting with block A. (从 A 组开始)

Please either insert this number into the empty blocks at the bottom of your activation wizard, or write this number down for immediate entry into the activation wizard at the end of this call。

(请为您激活向导底部的空块输入这些数字;或者记下这些数字,在通话结束时立即进入激活向导。)

To hear this message again, please press the star key。

(重听一遍这个消息,请按 *号键。)

For help, press 0。

(如需帮助,请按0)

*这时按下#或者等上几秒钟就可以听到如下消息

Here is Block A : XXXXXX

A 组注册码

To continue press the hash key.

(要继续,请按 #号键)

To repeat the last block press the star key.

(要重复,请按 *号键)

*此时按下*会重复以上内容,按下#或等上几秒钟进入到下一组

Block B XXXXXX

B 组注册码

To continue press the hash key.

(要继续,请按 #号键)

To repeat the last block press the star key.

(要重复,请按 *号键)

(3) Key有效,但是你选错Licence Agreement了,或者你自己已经打过一次电话了

The installation number was not verify. You will be transfer to the next valuable agent.

(你所提供的序列号不能被我们确认。你将会被转到下一个可用的机构)

这种情况有两种可能

a.一种是选错Licence Agreement,你还可以重打一次电话,搞清楚你的事VL的还是非VL的还是Enterprise的

b.另一种便很不幸,你不能够用同一个installation ID打两次电话。只能用另外一个有效的Key,或者重装一遍系统

13、输入电话反馈的数字(输入前,按下 shift 键,或者复制粘贴上去)点击激活 Windows

14、出现以下界面,恭喜您,已经激活成功了

15、在桌面左下角的微软LOGO图标上点击鼠标右键,点击系统,出现下图

16、关于激活后还有水印的问题,请大家,多刷新几下桌面,或者换个背景就可以了

17、关于不小心关掉了激活界面的同学,重新打开管理员:命令提示符输入slui 4 回车

ModelSim使用的一点心得体会

ModelSim使用的一点心得- - 1、至今还没有弄明白为什么要用ModelSim,因为看波形Quartus II自带的工具就可以了啊。 2、我刚刚接触modelsim,我想大多数菜鸟跟我一样,看过如何使用ModelSim的介绍,说句实话,那些介绍写的都太过简单,仿佛大家都不屑写上一些比较“弱智”的步骤,恰恰就是这些看似累赘的步走,难为我好久。 教程上都写道,modelsim的简单使用方法如下:建立库- 影射库到物理目录- 编译代码- 启动仿真。首先建立库就叫我头晕。库的概念用在这儿实在不合适,把我吓坏了,也就没心思看下一步了。在我看来,教程应该这么写: <1> 建立一个目录modelsimStudy。用任何文本编辑器编辑一个简单的例子程序,比如计数器counter.vhd。有clk、ena、reset输入,cnt作为输出。 <2> 打开Modelsim,首先create project,工程名随意取了,比如命名为test,目录设在modelsimStudy下,默认的库work不变(这样就不用管什么建立库之类的东西了)。然后add existing file,把counter.vhd加入当前工程。 <3> 编译这个文件,通过之后,work下面会出现counter这个实体。然后选中它,右键,simulate(左键双击也可)。 <4> ModelSim有很多窗口(新手就怕这个),一开始只要选择view下面的objects 和wave窗口就行了。旧版的signal窗口在6.0的版本改名为Objects(这个我是摸索了好久才发现的,是不是太笨了?)。wave窗口刚打开时是空的,需要在objects窗口的add -> wave -> signals in region。这时,wave上就有clk\ ena \ reset等信号了。 <5> 我们给clk来个输入激励,在object窗口中选中clk,右键选clock,给它定义个时钟。

modelsim激活教程

有用+1 已投票 1 收藏+1 已收藏 Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP 核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。 工具/原料 ?PC机 ?ModelSim6.4a ?破解软件modelsim_crack.exe 步骤/方法1 安装ModelSim6.4a,安装一般软件的安装步骤,一路next就行了2

下载破解软件modelsim_crack,并解压破解软件modelsim_crack.exe到任何位置 1. 3 运行破解软件modelsim_crack.exe,会在软件文件夹下生产License.txt

2. 4 把License.txt后缀名改为.dat,然后放到modelsim安装文件夹下,比如我的安装路径是D:\Program Files (x86)\modelsim\modelsim_ae

3. 5 打开pc机的高级系统设置窗口,并找到环境变量设置窗口

4. 6 添加新的用户环境变量和系统环境变量 变量名:LM_LICENSE_FILE 变量值:D:\Program Files (x86)\modelsim\modelsim_ae\License.DAT 这值是你License.DAT的存放位置

5.7 至此破解完成,运行程序即可

ModelSim-Altera使用方法.pdf[1]

平台 软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 1 设计流程 使用ModelSim仿真的基本流程为: 图1.1 使用ModelSim仿真的基本流程 2 开始 2.1 新建工程 打开ModelSim后,其画面如图2.1所示。

图2.1 ModelSim画面 1. 选择File>New>Preject创建一个新工程。打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。一般情况下,设定Default Library Name 为work。指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。该对话框如图 2. 2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。

图2.2 创建工程的对话框 2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D: /led_flow。 图2.3 输入工程信息 当单击OK按钮后,在主体窗口的下方将出现Project标签,如图2.4所示。

图2.4 Project标签 3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。 图2.5 在工程中,添加新项目 2.2 在工程中,添加新项目 在Add Items to the Project对话框中,包括以下选项: ?Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL 或文本文件 ?Add Existing File——添加一个已存在的文件 ?Create Simulation——创建指定源文件和仿真选项的仿真配置 ?Create New Folder——创建一个新的组织文件夹 1. 单击Create New File。打开图 2.6所示窗口。

QuartusII13.0与Modelsim SE安装与破解说明

QuartusII13.0与Modelsim SE安装与破解说明 FPGA开发使用到的常用软件为QuartusII和Modelsim,QuartusII为设计软件,Modelsim为仿真软件。本人目前使用的QuartusII为13.0版本,使用的Modelsim为SE的10.4版本的。(SE版本什么意思,在以后的学习中大家一起慢慢补充) 学习FPGA的前提是要安装这两款软件,需要软件的可以联系我。两款软件大体分为三个步骤: 1.安装。 2.破解。 3.关联两个软件。 本人使用的电脑为Win7的64位系统,32位系统装步骤大同小异。现将这两款软件的安装及破解详细说明如下。 一、QuartusII13.0安装与破解 (1)安装QuartusII13.0 安装的过程完全按照它的提示来,本人建议不要安装在C盘,毕竟C盘内存宝贵。我的QuartusII13.0安装的路径为E:\soft\quartus13.0,路径不可出现汉字。(2)破解QuartusII13.0 1.首先将破解器复制到E:\soft\quartus13.0\quartus\bin64的目录下。 2.然后运行破解器,点“应用”直接进行破解,生成的License保存在 E:\soft\quartus13.0\quartus\bin64的目录下。 3.接着,打开软件,找到软件的NIC的ID,复制其中的一个ID。 4.然后,找到第2步保存的License,使用记事本打开,将其中的XXXXXXXXXXXX全部替换成第3步的ID,保存即可。再次打开软件,点“Tools”,找到“License setup”,会弹出一个对话框。如图1.1所示。选择好License file的路径,然后会出现使用的年限,如图1.2所示,即说明破解成功。 file:///C:\Users\luqili\AppData\Local\Temp\msohtmlclip1\01\clip_image002.jpg

modelsim-SE-win64-10.1c下载、安装、破解全攻略

本教程包括软件下载、破解文件下载、安装破解方法,助你一次成功。软件安装好了却不能用,想必大家都有过这样的痛苦和无奈。这款软件的破解花了我整整一个下午的时间,期间在网上找了各种方法尝试均以失败告终,差点让我放弃破解而着手去换操作系统。网上的方法多存在着疏漏和差错,所以这也是我写次教程的初衷,希望能帮到大家,少走弯路。本人使用系统声明:win8 64 位专业版过程如下: 1 运行modelsim-win64-10.1c-se.exe,安装软件;软件下载链接:https://www.sodocs.net/doc/0d14366458.html,/s/1mgoL67e 破解文件下载:https://www.sodocs.net/doc/0d14366458.html,/s/1c0zlrRa(好请点赞,多谢支持)注意事项:安装路径可自行设置,但不要出现汉字,(本例:D:\modeltech64_10.1c) 2 安装过程中一直选择yes 即可,最后reboot(重启)询问选Yes、No 似乎都可以,我在安装的过程中选择的是No,即我没有重启系统; 3 将解压的破解文件(MentorKG.exe 和patch_dll.bat)复制到安装目录下的win6 4 文件夹中。(本例:D:\modeltech64_10.1c\win64 文件夹中); 4 进入安装目录下的win64 文件夹找到mgls.dll mgls64.dll 两个文件,去掉只读属性; 5 运行patch_dll.bat,稍等一段时间后即可生成一个TXT 文本,将其另存为LICENSE.TXT,另存路径选择你安装目录的win64 文件夹下;(本例:D:\modeltech64_10.1c\win64 文件夹中) 6 恢复mgls.dll 和mgls64.dll 两个文件的只读属性; 7 环境变量设置:win8 中是这样的这台电脑》右键选择【属性】》【高级系统设置】》【环境变量】点击【新建】打开编辑对话框,【变量名】命名为MGLS_LICENSE_FILE ,【变量值】为你LICENSE.TXT 的文件路径,(本例变量值D:\modeltech64_10.1c\win64\LICENSE.TXT)在win8 环境变量页面有用户变量和系统变量两个环境变量,我是选择两个都设置了。破解完毕,祝你成功!

ModelSim新手使用手册

ModelSim最基本的操作,初次使用ModelSim的同学,可以看看,相互学习。 无论学哪种语言,我都希望有个IDE来帮助我创建一个工程,管理工程里的文件,能够检查我编写代码的语法错误,能够编译运行出现结果,看看和预期的结果有没有出入,对于Verilog语言,我用过Altera的Quartus II,Xilinx的ISE,还有ModelSim(我用的是Altera 官网的ModelSim_Altera),甚至MAXPlus II,不过感觉这软件太老了,建议还是前三者吧。 学Verilog,找一本好书很重要,参考网友的建议,我也买了一本夏宇闻老师的《Verilog 数字系统设计教程》,用Quartus II来编写代码,个人觉得它的界面比ISE和ModelSim友好,我一般用它编写代码综合后自动生成testbench,然后可以直接调用ModelSim仿真,真的很方便,但学着学着,发现夏老师书里的例子很多都是不可综合的,比如那些系统命令,导致很多现象都发现不了,偶然间我直接打开了ModelSim,打开了软件自带的英文文档,步骤是:Help ->PDF Documentation->Tutorial如下所示: 打开文档的一部分目录: 往下读发现其实ModelSim可以直接创建工程,并仿真的。下面以奇偶校验为例叙述其使用过程(当然前提是你在Altera官网下载了ModelSim并正确安装了)。 1.打开软件,新建一个工程,并保存到自定义的目录中(最好别含中文路径) 2.点击Project,弹出窗口问是否关闭当前工程,点击Yes,接着又弹出如下窗口

我个人的习惯是把Project Name和Default Library Name写成一样,自己定义Project Location。又弹出如下窗口: 3.点击Close(我的版本不能Create New File,其实新建好了工程一样可以新建.v文件),然后点击屏幕下方的Project标签: 如果一开始不是如上图所示的界面,那么可以点击如下图所示红色标记的按键变成上图界面:

Modelsim代码覆盖率使用详解

一、代码覆盖率 Modelsim代码覆盖率功能Code coverage,能报告出statement(语句)、branch(分支)、condition(条件)、expression(表达)、toggle(信号翻转)、fsm(有限状态机)等多种覆盖率情况。 1、编译选项(compile option): 在Modelsim的Workspace里选中需要查看代码覆盖率的文件,然后点击右键选择compile—>compile properties,如下图, 之后选择“Coverage”选项,出现下图所示的窗口,根据需要选择选项,这里选statement、branch、condition、expression和toggle。选完点ok。

2、编译(compile) 点工具栏中的,编译所有的选中的文件,成功后进行下面的步骤。 3、仿真(simulate) 点工具栏中的,出现下面的窗口: (注:不要选择“Enable optimization”) 选择Others,出现如下图所示的窗口:

选择“Enable code coverage”后点“ok”后出现下面的窗口: 4、观察结果 完成以上操作后,代码覆盖率的窗口就出现了,点击(run –all)之后代码覆盖率的情况就出现在各窗口中。 下面介绍各子窗口: (1)Workspace窗口

在workspace窗口选择Files标签页并拖动滚动条到右侧,设计的每个文件显示了语句、分支、条件和表达式等语句的执行覆盖率汇总统计信息。 在workspace窗口选择Files标签页并拖动滚动条到右侧,本窗口将以设计中的每一条目来显示执行覆盖统计。 (2)Missed Coverage(未覆盖到对象列表窗)窗口 本窗口用于显示所选择文件未被执行的语句、分支、条件、表达式或未翻转过的信号。 在workspace窗口的Files标签页中选择不同的文件,Missed Coverage窗口的显示会随着选择的文件而更新。 上图为分支覆盖率的情况

modelsim仿真教程

本教程使用软件的下载链接如下: https://www.sodocs.net/doc/0d14366458.html,/download/quartus_modelsim_setup.zip Molelsim仿真使用教程 ——利用quartus生成网表文件Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件,接下来以一个简单的例子介绍modelsim的基本使用方法。 第一步:建立工程,该过程与quartus使用的教程大部分是一样的,区别如下: 在simluation选项中选择MoselSim-Altera作为仿真工具,fomat中的选项根据编程语言进行选择,本教程以VHDL为例。 第三方的仿真工具 所以在此选择

第二步:新建文件: 新建一个源文件,保存为led.vhd library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity led is port(led_out : out std_logic_vector(7 downto 0); clk : in std_logic; rst_n : in std_logic ); end led; architecture behavior of led is signal light : std_logic_vector (7 downto 0); begin process(clk,rst_n) begin if(rst_n = '0')then light <= "00000010"; elsif(clk'event and clk ='1' )then if(light = "10000000") then light <= "00000001"; else light <= light(6 downto 0)& '0'; end if; end if; end process; led_out <= light; end behavior; 新建一个testbench 文件,保存为testbench.vhd(testbench是电路的激励文件,在后面章节会详细介绍,这里仅仅作为软件的演示不具体介绍testbench的设计过程): library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity testbench is end testbench; architecture behavior of testbench is

modelsim 10仿真教程

Modelsim仿真教程 一、前期准备 1、正确安装好modelsim和quartus软件。我用的平台的版本是Modelsim SE10.1 和Quartus II10.1。 2、在ModelSim中加入Quartus提供的仿真库 在ModelSim中进行仿真需要加入Quartus提供的仿真库,原因是下面三个方面: ·Quartus不支持Testbench; ·调用了megafunction或者lpm库之类的Altera的函数; ·时序仿真要在Modelsim下做仿真。 下面以Altera器件为例,介绍如何在ModelSim中加入Altera的仿真库,Quartus II 软件中自带有Altera的仿真库,只要把它拿到ModelSim中去编译一下就可以了,具体步骤如下: (1).设置仿真库路径 打开ModelSim安装目录(我用的是ModelSim SE10.1版本,安装在C:\modeltech_10.1 目录下),新建文件夹altera,我们就在该目录下存放预编译的各种Altera库。 启动ModelSim SE,在主窗口执行【File】/【Change Directory】命令将路径转到altera 文件夹。

(2).新建库 Quartus II中提供的仿真库文件存放的路径是....\altera\10.1sp1\quartus\eda\sim_lib,每个库文件提供了两种形式:.v(Verilog)格式和.vhd(VHDL)格式两种,根据你所用的语言选择使用。用于编译资源库的文件有220model.v,220model.vhd,220pack.vhd,altera_mf.v,altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd, altera_primitives_components.vhd文件。网上的很多教程都是把这些文件一起编译,这样适用于Verilog和VHDL混合仿真,但如果只用一种语言,如Verilog则完全没必要全部编译。下面以该目录下的altera_mf.v为例介绍建立预编译库的方法。 注:ModelSim中仿真库可以分为两大类:第一类是工作库(working),默认值为“work”目录,work目录中包含当前工程下所有被编译的设计单元,编译前必须建立一个work库,并且每个编译有且仅有一个work库;第二类是资源库(resource),存储能被当前编译引用的设计单元,在编译期间允许有多个resource库,这节所讲的都是添加altera资源库。 在主窗口中选择【File】/【New】/【Library】命令,新建一个名为altera_mf的库。 (3).编译库 方便起见在altera文件夹下新建目录src,把用于编译资源库的文件复制到src文件夹中。 在主菜单中选【Compile】/【Compile】命令,弹出Compile Source Files对话框,library 中选择你刚才建立的库名altera_mf,查找范围你选择altera_mf.v文件,刚才你已经把它复制到了...\altera\src目录下。执行编译命令。

Modelsim初级使用教程

Modelsim初级使用教程(转) 一、Modelsim简介 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF Documentation->Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim就可以用,它假设使用者对当前操作的前期准备工作都已经很熟悉,所以初学者往往不知道如何做当前操作的前期准备。 二、安装 同许多其他软件一样,Modelsim SE 同样需要合法的License,通常我们用Kengen产生license.dat。 1 解压安装工具包开始安装,安装时选择Full product安装。当出现Install Hardware Security Key Driver时选择否。当出现Add Modelsim To Path 选择是。出现Modelsim License Wizard时选择Close。 2 在C盘根目录新建一个文件夹flexlm,用Keygen产生一个License.dat,然后复制到该文件夹下。若License通过,但是打开ModelSim仍出错,则将系统时间调到2008年之前,重新生成License,再将时间调回来即可。 3 修改系统的环境变量。右键点击桌面我的电脑图标,属性->高级->环境变量->(系统变量)新建。按下图所示内容填写,变量值内如果已经有别的路径了,请用";"将其与要填的路径分开。LM_LICENSE_FILE = c:\flexlm\license.dat 4 安装完毕,可以运行。 注意:1、电脑的用户名不能为中文; 2、安装路径不能出现中文和空格,只能有数字、英文字母和下划线"_"组成; 3、若计算机还需要使用ModelSim-Altera 6.4a (Quartus II 9.0),可不需做任何额外的设定,ModelSim SE 6.3g会自动抓到这个系统变量,并使用这个license file;反之亦然。

modelsim详细使用教程.pdf

Modelsim 详细使用方法 很多的modelsim教程中都讲得很丰富,但忽视了对整个仿真过程的清晰解读,而且都是拿counter范例举例子,有些小白就不会迁移了。这里我们着眼于能顺利的跑通一个自己写的程序,一步一步的讲解,如果你是一个初学者,这再适合你不过了,虽然貌似字写得比 较多,那是因为写得相当的详细,一看就会啦O(∩_∩)O~ 一、建立工程 1、在建立工程(project)前,先建立一个工作库(library),一般将这个 library 命名为 work。尤其是第一次运行 modelsim 时,是没有这个“work”的。但我们的 project 一般都是在这个work下面工作的,所以有必要先建立这个work。 File → new → library 点击library后会弹出一个对话框,问是否要创建work,点击OK。就能看见work.

2、 如果在 library 中有 work ,就不必执行上一步骤了,直接新建工程。 File →new →project 会弹出 在 Project Name 中写入工程的名字,这里我们写一个二分频器,所以命名 half_clk,然后点击 OK 。 会出现

由于我们是要仿一个自己写的程序,所以这里我们选择Create New File。 在File Name中写入文件名(这里的file name和刚刚建立的project name可以一致也可以不一致)。注意Add file as type要选择成Verilog(默认的是VHDL),然后OK。 发现屏幕中间的那个对话框没有自己消失,我们需要手动关闭它,点close。 并且在project中出现了一个half_clk.V的文件,这个就是我们刚刚新建的那个file。 这样工程就建立完毕了。 二、写代码: 1、写主程序:双击 half_clk.v 文件会出现程序编辑区,在这个区间里写好自己的 程序,这里我们写一个简单的二分频的代码: module half_clk_dai( clk_in, rst, clk_out ); input clk_in; input rst;

ModelSim 6.5破解图文教程

modelsim安装与使用图解 没有客套话,开始: 准备安装,我用的是xp sp2。 软件: modelsim-win32-6.3e-se.exe Crack_ModelSim_SE_6.3e.rar 一、安装modelsim 如果机器中已经装了modelsim,先卸载吧。 安装modelsim-win32-6.3e-se.exe 选Full Product,碰到有Next 只管点,一步步直到完成。 下面注意要选“否”

选择退出Exit 二、配置license 建个目录C:\FLEXLM 解压Crack_ModelSim_SE_6.3e.rar 执行MakeLic.bat 然后自动打开一个记事本窗口。选择“文件” “另存为”,保存到 C:\FLEXLM,文件名是LICENSE.TXT。

我的电脑(右键)→属性→高级→环境变量 系统变量→新建 LM_LICENSE_FILE C:\FLEXLM\LICENSE.TXT 选确定退出。

下面也很重要,修改C:\Modeltech_6.3e\modelsim.ini 文件。 先复制modelsim.ini,防止改错。把modelsim.ini 去掉“只读”属性。找到V optFlow = 1 把 1 改为0 ,关掉优化选项。不然,调试的时候看不到波形。 现在桌面上的ModelSim SE 6.3e 图标已经可以进入modelsim 了。如果不顺利,换台计算机试试,modelsim不是在每台计算机上都能运行! 三、准备要调试的文件 通常我都会建一个工作区C:\WorkSpace,把要调试的程序放到这里。举个例子:建一个项目CLK,放到C:\WorkSpace\CLK 目录下。

modelsim初级使用教程

Modelsim初级使用教程(转) 一、 Modelsim简介 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF Documentation->Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim 就可以用,它假设使用者对当前操作的前期准备工作都已经

很熟悉,所以初学者往往不知道如何做当前操作的前期准备。 二、 安装 同许多其他软件一样,Modelsim SE 同样需要合法的License,通常我们用Kengen产生license.dat。 1 解压安装工具包开始安装,安装时选择Full product安装。 当出现Install Hardware Security Key Driver时选择否。当出现Add Modelsim To Path选择是。 出现Modelsim License Wizard时选择Close。 2 在C盘根目录新建一个文件夹flexlm,用Keygen产生一个License.dat,然后复制到该文件夹下。 若License通过,但是打开ModelSim仍出错,则将系统时

关于使用ModelSim中创建testbench方法

关于使用ModelSim中创建testbench方法 ModelSim中自动创建TestBench的方法 1. 创建工程,将需要仿真的模块加入工程,编译 2. File -> Open,使用ModelSim自带的文本编辑器打开被仿真模块 3. Source -> Show Language Templates 4. 在显示的Language Templates栏目中选择“Create Testbench” 5. 软件自动弹出的"Create Testbench Wizzard”窗口中,在"work"下选择待仿真模块,按照提示走完,即 自动生成 对于初学者来说写Testbench测试文件还是比较困难的,但Modelsim和quartus ii都提供了模板,下面就如何使用Modelsim提供的模板进行操作。 Modelsim提供了很多Testbench模板,我们直接拿过来用可以减少工作量。对源文件编译完后,鼠标光标移到代码编辑窗后才会在菜单栏看到source选项,点Source->Show Language Templates然后会出现一个加载工程,接着你会发现在刚才的文档编辑窗口左边出现了一个Language Templates窗口,展开Verilog项,双击Creat Testbench会出现一个创建向导,展开工作目录添加目标文件,点击next,弹出testbench配置窗口,默认就行了,然后点击finish。一个Testbench模板就诞生了,我们就可以在此编辑窗中添加激励代码了。

本次操作软件版本为modelsim alter starter edition 6.6d.

modelsim 10.0c破解方法

modelsim10.0c的安装教程: windows安装: 1,安装modelsim10.0c 2,拷贝'win_patch_dll.bat'和'MentorKG.exe' 到/ModelSim_win32/这个目录下(其他文件都是无用文件) 3,双击win_patch_dll.bat会产生一个license.txt的license 4,修改环境变量指向这个license linux安装: linux的license的产生比较鸡肋,需要借助windows下安装了modelsim10.0c 1,用UE打开linux_patch_dll.bat,将里面的mac地址换成linux主机的。 2,拷贝'linux_patch_dll.bat'和'MentorKG.exe' 到安装好了的WINDOWS下的/ModelSim_win32/这个目录下双击linux_patch_dll.bat也会产生一个license.txt的license保存。这个LICENSE.TXT 就是你linux主机下modelsim10.0c的license 3,用UE打开LICENSE.TXT修改前2行 #SERVER 主机名主机mac 27001 #VENDOR mgcld /......../modelsim10.0c/modeltech/linux_x86_64/mgcld 把mgcld路径指向linux主机下的mgcld 4,把linux这个文件夹拷贝到modelsim10.0c/这个文件夹下面,之后修改环境变量如下:#****************Modelsim10.0c***************************** export LM_LICENSE_FILE=/EDA/Mentor/modelsim10.0c/LICENSE.dat export MGLS_LICENSE_FILE=${LM_LICENSE_FILE} export PATH=/EDA/Mentor/modelsim10.0c/modeltech/linux_x86_64PATH export PATH=/EDA/Mentor/modelsim10.0c/linuxPATH (注意:其中的路径要改成自己的) 5,重新打开终端,进入到modelsim10.0c/这个目录下,把sfk赋予root可读可写可执行权限,然后执行 ]# sfk rep -yes -pat -bin /5589E557565381ECD00000008B5508/31C0C357565381ECD00000008B5508/ -dir . 等待其扫描结束 打vsim启动modelsim10.0c

Modelsim_6.0_使用教程

Modelsim 6.0 使用教程 1. Modelsim简介 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF Documentation->Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim就可以用,它假设使用者对当前操作的前期准备工作都已经很熟悉,所以初学者往往不知道如何做当前操作的前期准备。 2. 安装 同许多其他软件一样,Modelsim SE同样需要合法的License,通常我们用Kengen产生license.dat。 ⑴.解压安装工具包开始安装,安装时选择Full product安装。当出现Install Hardware Security Key Driver时选择否。当出现Add Modelsim To Path选择是。出现Modelsim License Wizard时选择 Close。 ⑵.在C盘根目录新建一个文件夹flexlm,用Keygen产生一个License.dat,然后复制到该文件 夹下。 ⑶.修改系统的环境变量。右键点击桌面我的电脑图标,属性->高级->环境变量->(系统 变量)新建。按下图所示内容填写,变量值内如果已经有别的路径了,请用“;”将其与要 填的路径分开。LM_LICENSE_FILE = c:\flexlm\license.dat

相关主题