搜档网
当前位置:搜档网 › 北航电子电路设计数字部分实验报告

北航电子电路设计数字部分实验报告

北航电子电路设计数字部分实验报告
北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告

学院:

姓名:

实验一简单组合逻辑设计

实验内容

描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。

实验仿真结果

实验代码

主程序

module compare(equal,a,b);

input[7:0] a,b;

output equal;

assign equal=(a>b)1:0;

endmodule

测试程序

module t;

reg[7:0] a,b;

reg clock,k;

wire equal;

initial

begin

a=0;

b=0;

clock=0;

k=0;

end

always #50 clock = ~clock; always @ (posedge clock) begin

a[0]={$random}%2;

a[1]={$random}%2;

a[2]={$random}%2;

a[3]={$random}%2;

a[4]={$random}%2;

a[5]={$random}%2;

a[6]={$random}%2;

a[7]={$random}%2;

b[0]={$random}%2;

b[1]={$random}%2;

b[2]={$random}%2;

b[3]={$random}%2;

b[4]={$random}%2;

b[5]={$random}%2;

b[6]={$random}%2;

b[7]={$random}%2;

end

initial

begin #100000 $stop;end

compare m(.equal(equal),.a(a),.b(b));

endmodule

实验二简单分频时序逻辑电路的设计

实验内容

用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。

实验仿真结果

实验代码

主程序

module half_clk(reset,clk_in,clk_out); input clk_in,reset;

output clk_out;

reg clk_out;

always@(negedge clk_in)

begin

if(!reset)

clk_out=0;

else

clk_out=~clk_out;

end

endmodule

测试程序

`timescale 1ns/100ps

`define clk_cycle 50

module top;

reg clk,reset;

wire clk_out;

always #`clk_cycle clk=~clk;

initial

begin

clk=0;

reset=1;

#10 reset=0;

#110 reset=1;

#100000 $stop;

end

half_clk m0(.reset(reset),.clk_in(clk),.clk_out(clk_out));

endmodule

实验三利用条件语句实现计数分频时序电路

实验内容

利用10MHz的时钟,设计一个单周期形状的周期波形。

实验仿真结果

实验代码

主程序

module fdivision(RESET,F10M,out); input F10M,RESET;

output out;

reg out;

reg[7:0] i;

always @(posedge F10M)

if(!RESET)

begin

out<=0;

i<=0;

end

else if(i==2||i==3)

begin

out=~out;

i<=i+1;

end

else if(i==5)

i<=1;

else

i<=i+1;

endmodule

测试程序

`timescale 1ns/100ps

module division_top;

reg F10M,RESET;

wire out;

always #50 F10M=~F10M;

initial

begin

RESET=1;

F10M=0;

#90 RESET=0;

#100 RESET=1;

#10000 $stop;

end

fdivision fdivision(.RESET(RESET),.F10M(F10M),.out(out));

endmodule

实验四阻塞赋值与非阻塞赋值的区别实验内容

比较四种不同的写法,观察阻塞与非阻塞赋值的区别。

Blocking:

always @(posedge clk)

begin

b=a;

c=b;

end

Blocking1:

always @(posedge clk)

begin

c=b;

b=a;

end

Blocking2:

always @(posedge clk) b=a; always @(posedge clk) c=b; non_Blocking:

always@(posedge clk)

begin

b<=a;

c<=b;

End

实验仿真结果

实验代码

主程序

module blocking(clk,a,b,c);

output[3:0] b,c;

input[3:0] a;

input clk;

reg[3:0] b,c;

always @(posedge clk)

begin

b=a;

c=b;

end

endmodule

测试部分

`timescale 1 ns/100 ps

`include "./"

`include "./"

`include "./"

`include "./"

module compareTop;

wire[3:0]b11,c11,b12,c12,b13,c13,b2,c2; reg[3:0]a;

reg clk;

initial

begin

clk=0;

forever#50 clk=~clk;

end

initial

begin

a=4'h3;

$display("%d",a);

#100 a=4'h7;

$display("%d",a);

#100 a=4'hf;

$display("%d",a);

#100 a=4'ha;

$display("%d",a);

#100 a=4'h2;

$display("%d",a);

#100 $stop;

end

blocking blocking(clk,a,b11,c11);

blocking1 blocking1(clk,a,b12,c12);

blocking2 blocking2(clk,a,b13,c13);

non_blocking non_blocking(clk,a,b2,c2); endmodule

实验五用always块实现较复杂的组合逻辑

实验目的

运用always块设计一个8路数据选择器。要求:每路输入数据与输出数据均为4位2进制数,当选择开关(至少3位)或输入数据发生变化时,输出数据也相应地变化。

实验仿真结果

实验代码

主程序

module alu(out,opcode,a1,a2,a3,a4,a5,a6,a7,a8);

output[3:0] out;

reg[3:0] out;

input[3:0] a0,a1,a2,a3,a4,a5,a6,a7;

input[2:0] opcode;

always@(opcode or a1 or a2 or a3 or a4 or a5 or a6 or a7 or a0) begin

case(opcode)

3'd0: out=a0;

3'd1: out=a1;

3'd2: out=a2;

3'd3: out=a3;

3'd4: out=a4;

3'd5: out=a5;

3'd6: out=a6;

3'd7: out=a7;

default:out=4'b0000;

endcase

end

endmodule

测试程序

`timescale 1ns/1ns

`include "./"

module alutext;

wire[3:0] out;

reg[3:0] a1,a2,a3,a4,a5,a6,a7,a8;

reg[2:0] opcode;

initial

begin

a1={$random}%16;

a2={$random}%16;

a3={$random}%16;

a4={$random}%16;

a5={$random}%16;

a6={$random}%16;

a7={$random}%16;

a8={$random}%16;

repeat(100)

begin

#100 opcode={$random}%8;

a1={$random}%16;

a2={$random}%16;

a3={$random}%16;

a4={$random}%16;

a5={$random}%16;

a6={$random}%16;

a7={$random}%16;

a8={$random}%16;

end

#100 $stop;

end

alu alu(out,opcode,a1,a2,a3,a4,a5,a6,a7,a8); endmodule

实验六在 Verilog HDL中使用函数

实验目的

设计一个带控制端的逻辑运算电路,分别完成正整数的平方、立方和最大数为5的阶乘运算。实验仿真结果

实验代码

主程序

module tryfunct(clk,n,result1,result2,result3,reset);

output[31:0]result1,result2,result3;

input[3:0]n;

input reset,clk;

reg[31:0]result1,result2,result3;

always@(posedge clk)

begin

if(!reset)

begin

result1<=0;

result2<=0;

result3<=0;

end

else

begin

result1<=fun1(n); result2<=fun2(n); result3<=fun3(n); end

end

function[31:0]fun1;

input[3:0]operand;

fun1=operand*operand; endfunction

function[31:0]fun2;

input[3:0]operand;

begin

fun2=operand*operand; fun2=operand*fun2;

end

endfunction

function[31:0]fun3;

input[3:0]operand;

reg[3:0]index;

begin

fun3=1;

if(operand<11)

for(index=2;index<=operand;index=index+1) fun3=index*fun3;

else

for(index=2;index<=10;index=index+1)

fun3=index*fun3;

end

endfunction

endmodule

测试程序

`include"./"

`timescale 1ns/100ps

module tryfunctTop;

reg[3:0] n,i;

reg reset,clk;

wire[31:0]result1,result2,result3;

initial

begin

clk=0;

n=0;

reset=1;

#100 reset=0;

#100 reset=1;

for(i=0;i<=15;i=i+1)

begin

#200 n=i;

end

#100 $stop;

end

always#50 clk=~clk;

tryfunct

m(.clk(clk),.n(n),.result1(result1),.result2(result2),.result3(result3),.reset( reset));

endmodule

实验七在Verilog HDL中使用任务(task)实验目的

用两种不同方法设计一个功能相同的模块,该模块能完成四个8位2进制输入数据的冒泡排序。第一种,模仿原题例子中用纯组合逻辑实现;第二种,假设8位数据是按照时钟节拍串行输入的,要求用时钟触发任务的执行法,每个时钟周期完成一次数据交换操作。

实验仿真结果

实验代码

主程序1

module rank(ra,rb,rc,rd,a,b,c,d);

output[7:0]ra,rb,rc,rd;

input[7:0]a,b,c,d;

reg[7:0]ra,rb,rc,rd,va,vb,vc,vd,tmp;

reg i;

always@(a or b or c or d)

begin

{va,vb,vc,vd}={a,b,c,d};

repeat(7)

begin

exchange(va,vb);

exchange(vb,vc);

exchange(vc,vd);

end

{ra,rb,rc,rd}={va,vb,vc,vd}; end

task exchange;

inout[7:0] x,y;

reg[7:0] tmp;

if(x>y)

begin

tmp=x;

x=y;

y=tmp;

end

endtask

endmodule

测试部分1

`timescale 1ns/100ps

电力电子技术实验报告

实验一 SCR、GTO、MOSFET、GTR、IGBT特性实验 一、实验目的 (1)掌握各种电力电子器件的工作特性。 (2)掌握各器件对触发信号的要求。 二、实验所需挂件及附件 序 型号备注 号 1DJK01 电源控制屏该控制屏包含“三相电源输出”等几个模块。2DJK06 给定及实验器件该挂件包含“二极管”等几个模块。 3DJK07 新器件特性实验 DJK09 单相调压与可调负 4 载 5万用表自备 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载电阻R 串联后接至直流电源的两端,由DJK06上的给定为新器件提供触发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压器调节的直流电压源。 实验线路的具体接线如下图所示: 四、实验内容 (1)晶闸管(SCR)特性实验。

(3)功率场效应管(MOSFET)特性实验。

(5)绝缘双极性晶体管(IGBT)特性实验。 五、实验方法 (1)按图3-26接线,首先将晶闸管(SCR)接入主电路,在实验开始时,将DJK06上的给定电位器RP1沿逆时针旋到底,S1拨到“正给定”侧,S2拨到“给定”侧,单相调压器逆时针调到底,DJK09上的可调电阻调到阻值为最大的位置;打开DJK06的电源开关,按下控制屏上的“启动”按钮,然后缓慢调节调压器,同时监视电压表的读数,当直流电压升到40V时,停止调节单相调压器(在以后的其他实验中,均不用调节);调节给定电位器RP1,逐步增加给定电压,监视电压表、电流表的读数,当电压表指示接近零(表示管子完全导通),停止调节,记录给定电压U

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

电力电子电路分析与仿真实验报告模板剖析

电力电子电路分析与仿真 实验报告 学院:哈尔滨理工大学荣成学院 专业: 班级: 姓名: 学号: 年月日

实验1降压变换器 一、实验目的: 设计一个降压变换器,输入电压为220V,输出电压为50V,纹波电压为输出电压的0.2%,负载电阻为20欧,工作频率分别为220kHz。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 四、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。

3.仿真模型如图所示。 六、参数设置 七、仿真结果分析

实验2升压变换器 一、实验目的: 将一个输入电压在3~6V的不稳定电源升压到稳定的15V,纹波电压低于0.2%,负载电阻10欧,开关管选择MOSFET,开关频率为40kHz,要求电感电流连续。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 五、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。

电力电子技术实验报告

实验一 DC-DC 变换电路的性能研究 一、实验目的 熟悉Matlab 的仿真实验环境,熟悉Buck 电路、Boost 电路、Cuk 电路及单端反激变换(Flyback )电路的工作原理,掌握这几种种基本DC-DC 变换电路的工作状态及波形情况,初步了解闭环控制技术在电力电子变换电路中的应用。 二、实验内容 1.Buck 变换电路的建模,波形观察及相关电压测试 2.Boost 变换电路的建模,波形观察及相关电压测试; 3.Cuk 电路的建模,波形观察及电压测试; 4.单端反激变换(Flyback )电路的建模,波形观察及电压测试,简单闭环控制原理研究。 (一)Buck 变换电路实验 (1)电感电容的计算过程: V V 500=,电流连续时,D=0.4; 临界负载电流为I= 20 50 =2.5A ; 保证电感电流连续:)1(20D I f V L s -?= =5 .210002024.0-150????) (=0.375mH 纹波电压 0.2%= s s f LCf D V ?8-10) (,在由电感值0.375mH ,算出C=31.25uF 。 (2)仿真模型如下: 在20KHz 工作频率下的波形如下:

示波器显示的六个波形依次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形。 在50KHz工作频率下的波形如下: 示波器显示的六个波形一次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形; 建立仿真模型如下:

(3)输出电压的平均值显示在仿真图上,分别为49.85,49.33; (4)提高开关频率,临界负载电流变小,电感电流更容易连续,输出电压的脉动减小,使得输出波形应更稳定。 (二)Boost 变换电路实验 (1)电感电容的计算过程: 升压比M= S V V 0=D -11,0V =15V,S V =6V,解得D=60%; 纹波电压0.2%=s c f f D ? ,c f RC 1=,s f =40KHz,求得L=12uH,C=750uf 。 建立仿真模型如下:

#电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

电力电子实验报告

电力电子实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验一SCR(单向和双向)特性与触发实验 一、实验目的 1、了解晶闸管的基本特性。 2、熟悉晶闸管的触发与吸收电路。 二、实验内容 1、晶闸管的导通与关断条件的验证。 2、晶闸管的触发与吸收电路。 三、实验设备与仪器 1、典型器件及驱动挂箱(DSE01)—DE01单元 2、触发电路挂箱Ⅰ(DST01)—DT02单元 3、触发电路挂箱Ⅰ(DST01)—DT03单元(也可用DG01取代) 4、电源及负载挂箱Ⅰ(DSP01)或“电力电子变换技术挂箱Ⅱa(DSE03)”—DP01单元 5、逆变变压器配件挂箱(DSM08)—电阻负载单元 6、慢扫描双踪示波器、数字万用表等测试仪器 四、实验电路的组成及实验操作 图1-1 晶闸管及其驱动电路

1、晶闸管的导通与关断条件的验证: 晶闸管电路面板布置见图1-1,实验单元提供了一个脉冲变压器作为脉冲隔离及功率驱动,脉冲变压器的二次侧有相同的两组输出,使用时可以任选其一;单元中还提供了一个单向晶闸管和一个双向晶闸管供实验时测试,此外还有一个阻容吸收电路,作为实验附件。打开系统总电源,将系统工作模式设置为“高级应用”。将主电源电压选择开关置于“3”位置,即将主电源相电压设定为220V;将“DT03”单元的钮子开关“S1”拨向上,用导线连接模拟给定输出端子“K”和信号地与“DE01”单元的晶闸管T1的门极和阴极;取主电源“DSM00”单元的一路输出“U”和输出中线“L01”连接到“DP01”单元的交流输入端子“U”和“L01”,交流主电源输出端“AC15V”和“O”分别接至整流桥输入端“AC1”和“AC2”,整流桥输出接滤波电容(“DC+”、“DC-”端分别接“C1”、“C2”端);“DP01”单元直流主电源输出正端“DC+”接“DSM08”单元R1的一端,R1的另一端接“DE01”单元单向可控硅T1的阳极,T1的阴极接“DP01”单元直流主电源输出负端“DC-”。闭合控制电路及挂箱上的电源开关,调节“DT03”单元的电位器“RP2”使“K”点输出电压为“0V”;闭合主电路,用示波器观测T1两端电压;调节“DT03”单元的电位器“RP2”使“K”点电压升高,监测T1的端电压情况,记录使T1由截止变为开通的门极电压值,它正比于通入T1门极的电流I G;T1导通后,反向改变“RP2”使“K”点电压缓慢变回“0V”,同时监测T1的端电压情况。断开主电路、挂箱电源、控制电路。将加在晶闸管和电阻上的主电源换成交流电源,即“AC15V”直接接“R1”一端,T1的阴极直接接“O”;依次闭合控制电路、挂箱电源、主电路。调节“DT03”单元的电位器“RP2”使“K”点电压升高,监测T1的端电压情况;T1导通后,反向改变“RP2”使“K”点电压缓慢变回“0V”,同时监测并记录T1的端电压情况。通过实验结果,参考教材相关章节的内容,分析晶闸管的导通与关断条件。实验完毕,依次断开主电路、挂箱电源、控制电路。 2、晶闸管的触发与吸收电路: 将主电源电压选择开关置于“3”位置,即将主电源相电压设定为220V;用导线连接“DT02”单元输出端子“OUT11”和“OUT12”与“DE01”单元的脉冲变压器输入端“IN1”和“IN2”;取主电源的一路输出“U”和输出中线“L01”连接到“DP01”单元的交流输入端子“U”和“L01”;“DP01”单元的同步信号输出端“A”和“B”连接到锯齿波移相触发电路的同步信号输入端“A”和“B”;将“DE01”的脉冲变压器输出“g1”和“k1”分别接至单向

电子电路实验报告

.东南大学电工电子实验中心 实验报告 课程名称:电子电路实践 第三、四次实验 实验名称:单级低频电压放大器 院(系):专业: 姓名:学号: 实验室:105 实验组别:无 同组人员:无 实验时间:2012年4月15日2012年4月22日评定成绩:审阅老师:

实验目的: 1、掌握单级放大电路的工程估算、安装和调试 2、了解三极管各项基本器件参数、工作点、偏置电路、输入阻抗、输出阻抗、增益、幅频 特性等的基本概念以及测量方法 3、掌握基本的模拟电路的故障检查和排除方法,深化示波器、稳压电源、交流电压表、 函数发生器的使用技能训练 三、预习思考 1、器件资料: 上网查询本实验所用的三极管9013的数据手册,画出三极管封装示意图,标出每个管 将其扁平的一面正对自己,管脚朝下,则从左至右三个管脚依次为e,b,c;封装图如下:

2、 偏置电路: 教材图1-3中偏置电路的名称是什么,简单解释是如何自动调节BJT (半导体三极管)的电流I C 以实现稳定直流工作点的作用的,如果R 1 、R 2取得过大能否再起到稳定直流工作点的作用,为什么? 答: 共发射极偏置电路。 利用12,R R 构成的分压器给三极管基极b 提供电位B U ,又1 BQ I I ,基极电位B U 可近 似地由下式求得:2 12 B C C R U V R R ≈ ?+ 当环境温度升高时,)(CQ EQ I I 增加,电阻E R 上的压降增大,由于基极电位B U 固定,加到发射结上的电压减小,BQ I 减小,从而使CQ I 减小,通过这样的自动调节过程使CQ I 恒定,即实现了稳定直流工作点的作用。 如果12,R R 取得过大,则1I 减小,不能满足12,R R 支路中的电流1 BQ I I 的条件,此时, BQ V 在温度变化时无法保持不变,也就不能起到稳定直流工作点的作用。 3、 电压增益: (I) 对于一个低频放大器,一般希望电压增益足够大,根据您所学的理论知识,分析有 哪些方法可以提高电压增益,分析这些方法各自优缺点,总结出最佳实现方案。 答: 0()() 26(1) C L C L u i be b CQ u R R R R A mV u r r I βββ= =-=- ++ 所以提高电压增益的方法有: 1)增大集电极电阻R C 和负载R L 。缺点:R C 太大,受V CC 的限制,会使电路不能正常工作。 2)Q 点适当选高,即增大I CQ 。缺点:电路耗电大、噪声大 3)选用多级放大电路级联形式来获取足够大的电压增益。缺点:电路较复杂,输出信

电力电子实验报告

实验题目:MPD-15实验设备《电力电子技术》班级:自动化1405 姓名:KZY 学号:0901140450X 指导老师:XXX

实验一、三相脉冲移相触发电路 1.实验目的:熟悉了解集成触发电路的工作原理、双脉冲形成过程及掌握集成触发电路的 应用。 2.实验内容:集成触发电路的调试及各点波形的观察与分析。 3.实验设备:YB4320A型双线示波器一台;万用表一块;MPD-15实验设备中“模拟量可逆 调速系统”控制大板中的“脉冲触发单元”。 4.实验接线:见图1 图1 该实验接好三根线:即SZ与SZ1,GZ与GND,U GD与U CT连接好就行了。 5.实验步骤: (1)将实验台左下方的三相电源总开关QF1合上;(其它开关和按钮不要动) (2)将模拟挂箱上左边的电源开关拨至“通”位置,此时控制箱便接入了工作电源和三相交流同步电源U sa U sb U sc (注:U sa U sb U sc 与主回路电压:U A16 U B16 U C16相位一致)。 (3)将模拟挂箱上正组脉冲开关拨至“通”位置,此时正组脉冲便接至了正组晶闸管。 (4)用示波器观察U sa U sb U sc孔的相序是否正确,相位是否依次相差120°(注:用示波器的公共端接GND孔,其它两信号探头分别依次检查三个同步信号)。 (5)触发器锯齿波斜率的整定 (6)触发器相位特性整定:

实验二三相桥式整流电路的研究 一、实验目的 1、熟悉三相桥式整流电路的组成、研究及其工作原理。 2、研究该电路在不同负载(R、R+L、R+L+VDR)下的工作情况,波形及其特性。 3、掌握晶体管整流电路的试验方法。 二、实验设备 1、YB4320A型双线示波器一台 2、万用表一块 3、模拟量挂箱一个 4、MPD-08试验台主回路 三、实验接线 1、先断开三相电源总开关QF1; 2、触发器单元接线维持实验一线路不变; 3、主回路接线按图5进行。 A N0 图5 三相桥式整流电路(虚线部分用导线接好) 四、实验步骤(注意:根据表1中 所对应的Uct数据来调节Uct大小)

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

电子电路综合实验报告

电子电路综合实验报 课题名称:简易晶体管图示仪 专业:通信工程 班级: 学号: 姓名: 班内序号:

一、课题名称: 简易晶体管图示仪 二、摘要和关键词: 本报告主要介绍简易晶体管的设计实现方法,以及实验中会出现的问题及解决方法。给出了其中给出了各个分块电路的电路图和设计说明,功能说明,还有总电路的框图,电路图,给出实验中示波器上的波形和其他一些重要的数据。在最后提到了在实际操作过程中遇到的困难和解决方法,还有本次实验的结论与总结。 方波、锯齿波、阶梯波、特征曲线。 三、设计任务要求: 1. 基本要求:⑴设计一个阶梯波发生器,f≥500Hz,Uopp≥3V,阶数N=6; ⑵设计一个三角波发生器,三角波Vopp≥2V; ⑶设计保护电路,实现对三极管输出特性的测试。 2. 提高要求:⑴可以识别NPN,PNP管,并正确测试不同性质三极管; ⑵设计阶数可调的阶梯波发生器。 四、设计思路: 本试验要求用示波器稳定显示晶体管输入输出特性曲线。我的设计思路是先用NE555时基振荡器产生的方波和带直流的锯齿波。然后将产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿的个数,实验中利用它的三位输出为多路开关CD4051提供地址。CD4051是一个数据选择器,根据16进制计数器74LS169给出的地址进行选择性的输出,来输出阶梯波,接入基极。由双运放LF353对NE555产生的锯齿波进行处理,产生符合要求的锯齿波作为集电极输入到三极管集电极。最后扫描得到NPN的输出特性曲线。总体结构框图:

五、分块电路和总体电路的设计: ⑴用NE555产生方波及锯齿波,电路连接如下。 图2.方波产生电路 NE555的3口产生方波,2口产生锯齿波,方波振荡器周期T=3 R1+R2 C1,占空比D= R1+R2 /(R1+2R2),为使阶梯波频率足够大,选C1=0.01uF,同时要产生锯齿波,方波的占空比应尽量大,当R1远大于R2时,占空比接近1,选R1为20kΩ,R2为100Ω。 ⑵阶梯波电路: 用NE555时基振荡器产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿得个数,实验中利用它的三位输出为多路开关CD4051的输入Qa、Qb、Qc提供地址。直流通路是由5个100Ω的电阻组成的电阻分压网络以产生6个不同的电压值,根据16进制计数器74LS169给出的地址进行选择性的输出,而它的管脚按照一定的顺序接入5个等值电阻然后在第一个电阻接入5V 的电压,原本是管脚接7个电阻可以产生8阶阶梯波,将三个管脚短接,即可产生6阶,这里选择了4,2,5接地,使输出为6阶阶梯波,以满足基本要求中的阶梯波幅度大于3V的要求。另一路信号通道的输入则接被显示的信号;通过地址信号Qa、Qb、Qc对两回路信号同步进行选通。这样,用示波器观察便可得到有6阶的阶梯波。 仿真时在Multisim上没有现成元件CD4051,这里选择了与它功能相近的8通道模拟多路复用器ADG528F代替。它是根据A1、A2、A3口的输入来选择输出S1-S8中各路电压值。

实验报告-电力电子仿真实验

电力电子仿真实验 实验报告 院系:电气与电子工程学院 班级:电气1309班 学号: 1131540517 学生姓名:王睿哲 指导教师:姚蜀军 成绩: 日期:2017年 1月2日

目录 实验一晶闸管仿真实验 (3) 实验二三相桥式全控整流电路仿真实验 (6) 实验三电压型三相SPWM逆变器电路仿真实验 (18) 实验四单相交-直-交变频电路仿真实验 (25) 实验五VSC轻型直流输电系统仿真实验 (33)

实验一晶闸管仿真实验 实验目的 掌握晶闸管仿真模型模块各参数的含义。 理解晶闸管的特性。 实验设备:MATLAB/Simulink/PSB 实验原理 晶闸管测试电路如图1-1所示。u2为电源电压,ud为负载电压,id为负载电流,uVT 为晶闸管阳极与阴极间电压。 图1-1 晶闸管测试电路 实验内容 启动Matlab,建立如图1-2所示的晶闸管测试电路结构模型图。

图1-2 带电阻性负载的晶闸管仿真测试模型 双击各模块,在出现的对话框内设置相应的模型参数,如图1-3、1-4、1-5所示。 图1-3 交流电压源模块参数

图1-4 晶闸管模块参数 图1-5 脉冲发生器模块参数 固定时间间隔脉冲发生器的振幅设置为5V,周期与电源电压一致,为0.02s(即频率为50Hz),脉冲宽度为2(即7.2o),初始相位(即控制角)设置为0.0025s(即45o)。 串联RLC分支模块Series RLC Branch与并联RLC分支模块Parallel RLC Branch的参数设置方法如表1-1所示。 元件串联RLC分支并联RLC分支 类别电阻数值电感数值电容数值电阻数值电感数值电容数值单个电阻R0inf R inf0 单个电感0L inf inf L0 单个电容00C inf inf C

《电力电子技术》实验报告-1

河南安阳职业技术学院机电工程系电子实验实训室(2011.9编制) 目录 实验报告一晶闸管的控制特性及作为开关的应用 (1) 实验报告二单结晶体管触发电路 (3) 实验报告三晶闸管单相半控桥式整流电路的调试与分析(电阻负载) (6) 实验报告四晶闸管单相半控桥式整流电路的研究(感性、反电势负载) (8) 实验报告五直流-直流集成电压变换电路的应用与调试 (10)

实验报告一晶闸管的控制特性及作为开关的应用 一、实训目的 1.掌握晶闸管半控型的控制特点。 2.学会晶闸管作为固体开关在路灯自动控制中的应用。 二、晶闸管工作原理和实训电路 1.晶闸管工作原理 晶闸管的控制特性是:在晶闸管的阳极和阴极之间加上一个正向电压(阳极为高电位);在门极与阴极之间再加上一定的电压(称为触发电压),通以一定的电流(称为门极触发电流,这通常由触发电路发给一个触发脉冲来实现),则阳极与阴极间在电压的作用下便会导通。当晶闸管导通后,即使触发脉冲消失,晶闸管仍将继续导通而不会自行关断,只能靠加在阳极和阴极间的电压接近于零,通过的电流小到一定的数值(称为维持电流)以下,晶闸管才会关断,因此晶闸管是一种半控型电力电子元件。 2.晶闸管控制特性测试的实训电路 图1.1晶闸管控制特性测试电路 3.晶闸管作为固体开关在路灯自动控制电路中的应用电路 图1.2路灯自动控制电路 三、实训设备(略,看实验指导书)

四、实训内容与实训步骤(略,看实验指导书) 五、实训报告要求 1.根据对图1.1所示电路测试的结果,写出晶闸管的控制特点。记录BT151晶闸管导通所需的触发电压U G、触发电流I G及导通时的管压降U AK。 2.简述路灯自动控制电路的工作原理。

FPGA实验报告北航电气技术实验

FPGA电气技术实践 实验报告 院(系)名称宇航学院 专业名称飞行器设计与工程(航天)学生学号XXXXXXXX 学生姓名XXXXXX 指导教师XXXX 2017年11月XX日

实验一四位二进制加法计数器与一位半加器的设计实验时间:2017.11.08(周三)晚实验编号20 一、实验目的 1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。 2、掌握简单逻辑电路的设计方法与功能仿真技巧。 3、学习并掌握VHDL语言、语法规则。 4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。 二、实验原理 .略 三、实验设备 1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套 四、调试步骤 1四位二进制加法计数器 (1)参照指导书实例1进行工程建立与命名。 (2)VHDL源文件编辑 由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。 由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。 (3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件 (4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。 可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。 并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

电力电子实验报告

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:■验证□综合□设计□创新实验日期:实验成绩:一、实验项目名称:锯齿波同步移相触发电路实验

接于“7”端。注:如您选购的产品为MCL—Ⅲ、Ⅴ,无三相调压器,直接合上主电源。以下均同同时观察“1”、“2”孔的波形,了解锯齿波宽度和“1”点波形的关系。 观察“3”~“5”孔波形及输出电压U G1K1的波形,调整电位器RP1,使“3”的锯齿波刚出现平顶,记下各波形的幅值与宽度,比较“3”孔电压U3与U5的对应关系。 3.调节脉冲移相范围 将MCL—18的“G”输出电压调至0V,即将控制电压Uct调至零,用示波器观察U2电压(即“2”孔)及U5的波形,调节偏移电压Ub(即调RP),使α=180O,其波形如图4-4所示。 调节MCL—18的给定电位器RP1,增加Uct,观察脉冲的移动情况,要求Uct=0时,α=180O,Uct=Umax时,α=30O,以满足移相范围α=30O~180O的要求。 4.调节Uct,使α=60O,观察并记录U1~U5及输出脉冲电压U G1K1,U G2K2的波形,并标出其幅值与宽度。 用导线连接“K1”和“K3”端,用双踪示波器观察U G1K1和U G3K3的波形,调节电位器RP3,使U G1K1和U G3K3间隔1800。 七、实验报告 1、观察波形 ⑴、“1”、“2”孔波形

⑵、“3孔波形” ⑶、“4”孔波形

⑸、U G1K1波形

2、调节脉冲移相范围 ⑴U2、U5波形

⑵、U G1K1、U G2K2波形 ⑶、U G1K1、U G3K3波形

北航17系光电子实验报告实验5讲解

光电子技术实验报告

实验五光电池特性实验 一.实验目的: 1.学习掌握硅光电池的工作原理。 2.学习掌握硅光电池的基本特性。 3.掌握硅光电池基本特性测试方法。 二.实验原理: 光电池是一种不需要加偏置电压就能把光能直接转换成电能的PN结光电器件,按光电池的功用可将其分为两大类:即太阳能光电池和测量光电池,本仪器用的是测量用的硅光电池,其主要功能是作为光电探测,即在不加偏置的情况下将光信号转换成电信号。 图(20)图(21)如图(20)所示为2DR型硅光电池的结构,它是以P型硅为衬底(即在本征型硅材料中掺入三价元素硼或镓等),然后在衬底上扩散磷而形成N型层并将其作为受光面。如图(21)所示当光作用于PN结时,耗尽区内的光生电子与空穴在内建电场力的作用下分别向N区和P区运动,在闭合电路中将产生输出电流IL,且负载电阻RL上产生电压降为U。显然,PN结获得的偏置电压U与光电池输出电流IL与负载电阻RL有关,即U=IL?RL,当以输出电流的IL为电流和电压的正方向时,可以得到如图(22)所示的伏安特性曲线。

图(22)图(23)光电池在不同的光强照射下可以产生不同的光电流和光生电动势,硅光电池的光照特性曲线如图(23)所示,短路电流在很大范围内与光强成线性关系,开路电压随光强变化是非线性的,并且当照度在2000lx时就趋于饱和,因此,把光电池作为测量元件时,应把它当作电流源来使用,不宜用作电压源。 硒光电池和硅光电池的光谱特性曲线如图(25)所示,不同的光电池其光谱峰值的位置不同,硅光电池的在800nm附近,硒光电池的在540nm附近,硅光电池的光谱范围很广,在450~1100nm之间,硒光电池的光谱范围为340~750nm。 图(24)图(25)光电池的温度特性主要描述光电池的开路电压和短路电流随温度变化的情况,由于它关系到应用光电池设备的温度漂移,影响到测量精度或控制精度等主要指标,光电池的温度特性如图(24)所示。开路电压随温度升高而下降的速度较快,而短路电流随温度升高而缓慢增加,因此,当使用光电池作为测量元件时,在系统设计中应考虑到温度的漂移,并采取相应的措施进行补偿。 三.实验所需部件: 两种光电池、各类光源、实验选配单元、数字电压表(4 1/2位)自备、微安表(毫安表)、激光器、照度计(用户选配)。

电子线路设计与制作实验报告

电子线路设计与制作 实验报告 班级:电信12305班 指导老师:朱婷 小组成员:张壮安剑锋罗杰杨康熊施任务分工:1.张壮实验报告的撰写 2.安剑锋检查元件及整理 3.罗杰电路的焊接 4.杨康元器件的保管及测试 5.熊施协助电路的焊接 2014年11月14日

项目一:红外线电路设计 一、电路工作原理 常用的红外线遥控系统一般分发射和接收两个部分。发射部分的主要元件为红外发光二极管。它实际上是一直特殊的发光二极管,由于其内部材料不同于普通发光二极管,因而在其两端施加一定电压时,它便发出的红外线而不会死可见光。 接收部分的红外接收管是一种光敏二极管。在实际应用中要给红外线接收二极管加反向偏压,它才能正常工作,亦即红外线接收二极管在电路中应用时是反向运用,这样才能获得较高的灵敏度。红外线二极管一般有圆形和方形两种。 二、电路原理图设计

课题名称元件数量备注 红外线发射——接收模拟 电路红外线发射管 1 红外线接收管 1 发光二极管 1 运放uA741 1 20K可调电位器 1 100Ω电阻 1 10kΩ电阻 1 330Ω电阻 1 元件清单表 三、电路设计与调试 (1)各小组从指导老师那里领取元器件,分工检测元器件的性能。(2)依据电路原理图,各小组讨论如何布局,最后确定一最佳方案在洞洞板上搭建红外线发射\接收电路图。 (3)检查电路无误后,从信号发生器送入适应电压。 (4)调节可调电阻R3的阻值,观察发光二极管LED是否出现闪烁现象,如果出现说明有发射和接收,如果没有检查电路。(5)实验完毕,记录结果,并写实验报告。

四、实验注意事项 (1)发光二极管的电流不能天大(小于200mA);(2)在通电前必须检查电路无误后才可; (3)信号发生器的输出电压峰峰值1.5~2.5V。 项目二:定时电路的设计一、电路原理图与工作原理

电力电子实验报告

实验一、直流斩波电路的性能研究 一、实验目的 1.熟悉降压斩波电路和升压斩波电路的工作原理。 2.掌握这两种基本轿波电路的工作状态及波形情况。 二、实验项目 降压型(Buck)斩波电路性能研究。 三、实验原理 3.1 实验原理图 降压斩波电路 四、实验步骤及方法 1.熟悉各个模块的功能,检査控制电路和主电路的电源开关是否为关闭状态。 2.按照实验原理图进行接线。 3.对 PWM 控制模块依次进行如下设置: a 调节“幅值调节”旋钮,向左旋转至最小。 b“控制方式”开关拨为开环。 c“载波频率”设置为 20K。 d“输出模式”开关拨为模式 1。 4.打开底柜 24V 和 15V 电源,将 PWM 控制模块的开关拨为 ON,用示波器分别观察载波(三角波)和 PWM 信号的波形,记录其波形、频率和幅值。调节“幅值调节”旋钮,观察 PWM 信号的变化情况。 5.斩波电路的输入直流电压 Ui 由底柜的可调直流源给出,观察 Ui 波形,记录其平均值。

6.接通主电路和控制电路的电源。调节“幅值调节”旋钮,改变 PWM 波的占空比,观测输出电压 U o 波形。分别记录几组 PWM 信号占空比α, U i 、U o 的平均值。 五、实验结果 1.Vi=50V时,D=19.04%,输出电压波形如下图所示,由图知,Vo=8.8V,Vo理论值=Vi*D=9.52V。 2.Vi=40V时,D=66.94%,输出电压波形如下图所示,由图知,Vo=20V,Vo理论值=Vi*D=26.776V。 六、结果分析 将降压斩波电路中实际输出电压与理论分析结果逬行比较, 讨论产生差异的原因。 答:实际上斩波电路会由于输出端使用电容滤波,而造成输出电压与理论值不同。

三相桥式全控整流电路实验报告

三相桥式全控整流电路实 验报告 Prepared on 24 November 2020

实验三三相桥式全控整流电路实验 一.实验目的 1.熟悉MCL-18, MCL-33组件。 2.熟悉三相桥式全控整流电路的接线及工作原理。 二.实验内容 1.MCL-18的调试 2.三相桥式全控整流电路 3.观察整流状态下,模拟电路故障现象时的波形。 三.实验线路及原理 实验线路如图3-12所示。主电路由三相全控整流电路组成。触发电路为数字集成电路,可输出经高频调制后的双窄脉冲链。三相桥式整流电路的工作原理可参见“电力电子技术”的有关教材。 四.实验设备及仪器 1.MCL—Ⅱ型电机控制教学实验台主控制屏。 2.MCL-18组件 3.MCL-33组件 4.MEL-03可调电阻器(900) 6.二踪示波器 7.万用表 五.实验方法 1.按图3-12接线,未上主电源之前,检查晶闸管的脉冲是否正常。 (1)打开MCL-18电源开关,给定电压有电压显示。

(2)用示波器观察MCL-33的双脉冲观察孔,应有间隔均匀,相互间隔60o 的幅度相同的双脉冲。 (3)用示波器观察每只晶闸管的控制极、阴极,应有幅度为1V —2V 的脉冲。注:将面板上的Ublf 接地(当三相桥式全控整流电路使用I 组桥晶闸管VT1~VT6时),将I 组桥式触发脉冲的六个琴键开关均拨到“接通”, 琴键开关不按下为导通。 (4)将给定输出Ug 接至MCL-33面板的Uct 端,在Uct=0时,调节偏移电压Ub ,使=90o 。(注:把示波器探头接到三相桥式整流输出端即U d 波形, 探头地线接到晶闸管阳极。) 2.三相桥式全控整流电路 (1) 电阻性负载 按图接线,将Rd 调至最大450 (900并联)。 三相调压器逆时针调到底,合上主电源,调节主控制屏输出电压U uv 、U vw 、U wu ,从0V 调至70V(指相电压)。调节Uct ,使 在30o ~90o 范围内变化,用示波器观察记录=30O 、60O 、90O 时,整流电压u d =f (t ),晶闸管两端电压u VT =f (t )的波形,并记录相应的Ud 和交流输入电压U 2 数值。 30° 60° 90° 3.电感性负载 按图线路,将电感线圈(700mH)串入负载,Rd 调至最大(450)。 调节Uct ,使 在30o ~90o 范围内变化,用示波器观察记录=30 O 、60O 、90O 时,整流电压u d =f (t ),晶闸管两端电压u VT =f (t )的波形,并记录相应的Ud 和交流输入电压U 2 数值。 30° 60° 90°

相关主题