搜档网
当前位置:搜档网 › 基于FPGA的DDR2存储器控制器设计_毕业设计

基于FPGA的DDR2存储器控制器设计_毕业设计

基于FPGA的DDR2存储器控制器设计_毕业设计
基于FPGA的DDR2存储器控制器设计_毕业设计

毕业设计

专业:电子科学与技术

题目:基于FPGA的DDR2存储器控制器设计

2013年6月

毕业设计中文摘要

随着消费电类电子产品以及便携式通讯产品向多功能、高性能和低功耗方向的飞快发展,而随之带来的是对大量的数据处理,而产品的系统对其主要的存储设备的要求也越来越高。目前,DDR2凭着其及其高的数据传输速率和低廉的成本则越来越多的被用到一些高档类的消费类电子和便携式产品中。对DDR2 SDRAM的控制器处理的设计变得也就非常有必要。

使用FPGA技术设计数字电路,不仅可以简化设计过程,而且还可以减低整个系统的体积和成本,增加系统的可靠性。本次设计则使用Altear公司的Cyclone V 代器件,经行开发的最小系统。

本次设计使用的是Mircon公司的MT47系列的芯片,借助Altera公司提供的IP核所自动生成PHY接口。由于DDR2的读写驱动的要求,多次设计了电压的要求。

本文对DDR2 SDRAM基本结构和原理进行了简单的介绍。并且阐述基于FIFO和PHY接口的DDR2设计方法。

关键词DDR2 FPGA 存储器控制器 FIFO

毕业设计外文摘要

Title DDR2 Memory Controller Design based on FPGA

Abstract

As consumer electric class electronic products and portable communication products to multi-functional, high performance and low power consumption direction of rapid development, and then brings about a lot of data processing, the product of the system to the main storage device requirements more and more higher. At present, the DDR2 with its and high data transfer rate and low cost are more and more used in some high-end consumer electronics and portable products. Processing of DDR2 SDRAM controller design becomes and is very necessary.

Digital circuit design using FPGA technology, not only can simplify the design process, but also can reduce the size and cost of the whole system, increase the reliability of the system. This design USES Altear company Cyclone V generation device, the smallest system development. This design USES the Mircon MT47 series chips, and IP core provided by Altera corporation how can automatically generate the PHY interface. Driven requirements due to DDR2, speaking, reading and writing, and design the voltage requirements for many times.

in this paper, the basic structure and principle of DDR2 SDRAM has carried on the simple introduction. And in this paper, the DDR2 design method based on FIFO and PHY interface

Key Words DDR2 FPGA memory controlle FIFO

目录

1 绪论 (1)

2 动态随机存储器 (3)

2.1 同步动态随机存储器原理与结构 (3)

2.2 双倍动态随机存储器原理与特点 (3)

2.3 DDR2随机存储器的简介 (4)

3 可编程逻辑器件原理 (7)

3.1 FPGA原理 (7)

3.2 FPGA结构 (7)

3.3 FPGA器件开发的优点 (9)

4 外围电路设计 (11)

4.1芯片的选择和介绍 (11)

4.2 FPGA电源设计 (15)

4.3 FPGA时钟和复位设计 (16)

4.4 FPGA的配置设计 (17)

5 软件设计与仿真 (18)

5.1 FPGA的设计开发流程 (18)

5.2 系统设计软件介绍 (19)

5.3 VHDL语言的介绍 (19)

5.4 系统设计 (20)

结论 (29)

致谢 (30)

参考文献 (31)

1 绪论

随着大规模、甚大规模的集成电路的设计技术的飞速发展,科技的日益更新,跟随而来的是各种的芯片的弄能不段的变复杂,而同时,数字产品例如掌上电脑、网络设备、音频设备、高清电视等,对高性能的内存的需求也越来越高。为了满足这一要求,JEDEC(电子设备工程联合委员会)发布了JESD79-2B规范,即DDR2 SDRAM标准,使得处理大数据和快速存取数据得到了可能,尤其是在今天,所需的数据处理更加复杂的情况下,以其低的功耗,高的速率,成熟的工艺,使得其得到了广泛的应用。

随着对DDR2控制器一直不断的研究,DDR2控制器的性能也在逐步的提升,DDR2控制器的数据宽带增加,可以在一个时钟周期内传输64bits数据;支持的DDR2存储器的内存容量大量增加,从512Mb到1Gb甚至更大;DDR2器件的时钟频率不断的提高,其传输速率不断变快,所要求的的DDR2控制器的时钟速度也越来越高。

由于FBGA的封装形式具有更好的电气性能和散热性能,DDR2采用这种形式来最大可能的减小了寄生阻抗,使得工作的频率变超过DDR变得更高。DDR2采用1.8V电压,石凳功耗大大的降低。

同时,数字时序电路的设计也越来越复杂,所有需的芯片的资源和板级资源也越来越大,而FPGA却具有大量的时序逻辑资源,其可编程性使得数字电路设计可根据自己的需要来以硬件描述语言经行系统的逻辑设计,而且可以在设计的过程中经行时序要求的修改来达到满足设计的要求。FPGA芯片的的时序频率高,适合快速处理器器件的开发。FPGA器件具有高的可靠性,而且功耗还很低。使用FPGA器件开发使得开发变的简单,尤其是对要反复经行开发的设计,可以很大程度上,降低开发的成本。

随之软件系统集成读越来越高,产生了针对硬件开发的语言,其使得硬件开发变得简易,而且使得硬件电路的设计可以从软件的方式上经行开发设计,从而节省了开发着的时间,缩短了开发的流程,并且和可编程器件结合使得在同样的资源的情况下,进行可重复的设计变成了可能。最常用的硬件描述语言用VHDL和Verilog,本次设计是借助VHDL语言进行的软件设计。

本次设计的主要章节安排如下

1:绪论部分,讲述本次设计的背景和章节的安排

2:动态随机存储器部分,讲述本次设计中动态随机存储器发张及其原理结构和功能。

3:可编程逻辑器件的原理部分,讲述本次设计中的FPGA器件的原理、内部结构和使用这个器件开发的优势。

4:本次设计的外围电路的设计部分,讲述本次设计中所采用的芯片、工作原理及硬件电路的原理图

5:本次设计的系统设计部分,主要是软件开发部分,讲述了本次开发所用到的开发工具和具体的设计步骤。

2 动态随机存储器

由于现在所需要处理的数据量越要越大,虽然电路的响应时间也越来越快,但是,依旧满足不了所需要处理的数据的量,因此需要将信号经行锁存,而DDR 技术发展已经成熟,因此,本次设计借助于DDR2经行设计。

2.1 同步动态随机存储器原理与结构

同步动态随机存储器,也就是我们所说的SDRAM(Synchronous Dynamic Random Access Memory),同步是指 Memory工作需要的同步时钟,内部命令的发送与数据传输都要以它为基准;动态是指存储阵列需要不断刷新来保证数据不丢失;随机指的是数据不是线性依次存储,而是由指定地址的进行数据的读写。 SDRAM又称为DRARM,因为它需要不断的刷新(Refresh)才能保留住原来的数据。

SDRAM是多Bank结构,例如在一个有两个Bank的SDRAM的模组中,其中一个Bank在进行预充电同时,另一个Bank却马上可以被读取,这样当进行了一次读取后,又马上去读取已经预充电Bank的数据时,就不需等待而是可以直接读取了,大大提高了存储器的访问速度。

SDRAM具有多种的工作模式,其内部操作是一个非常复杂的有限状态机。从大体上讲SDRAM的引脚主要分为以下三种功能:

1、信号的控制:包括片选引脚、时钟使能引脚、时钟引脚、行列地址选择引脚、读写有效以及数据有效。

2、要输入的地址引脚:其是时分复用引脚,为存储器的行或者列地址的信号。

3、数据信号:为双向I/O引脚,受数据有效位控制。

2.2 双倍动态随机存储器原理与特点

双倍速率同步动态随机存储器,也就是人们所说的DDR(Double Data Rate)。严格的将DDR应该叫做DDR SDRAM,人们习惯称为DDR。DDR技术是在SDRAM技术的基础上发展而来的,其基本结构任然为SDRAM。

SDRAM仅能在一个时钟周期内传送一次数据,它是在时钟的有效的上升沿期间进行数据传输;而DDR内存则是在一个时钟周期内进行两次的数据传输,它能

够在时钟的上升沿和下降沿各进行一次的数据传输,因此又被人们称之为双倍速率同步动态随机存储器,即DDR。在相同的时钟的频率下DDR的存取速度远远的超出了SDRAM.

在与SDRAM相比,DDR其内部的电路设计更为先进,使地址的指定、数据的输入和输出的主要步骤既能够独立的执行,又可以保持与CPU的时钟的完全同步。DDR本质上是不需要提高时钟频率就能加倍提高SDRAM的传输速度,它在时钟脉冲的上升沿和下降沿来读入或者读出数据,因此其数据存取速度比标准SDRAM的存取速度快一倍。

2.3 DDR2随机存储器的简介

DDR2/DDR II(Double Data Rate 2)SDRAM是由JEDEC(电子设备工程联合委员会)进行开发的新生代内存技术标准,它与第一代的DDR内存技术标准的最大不同就是,虽然同样采用了上升沿和下降沿同时传输数据,但DDR2却拥有者第一代DDR的2倍的预存取功能。

DDR2引入了三项新的技术,它们是OCD、ODT和PostCAS。

OCD(Off-Chip Driver):即离线驱动调整,DDR Ⅱ可以通过OCD来提高系统信号的完整性。通过调整其上拉/下拉的电阻值使两者电压保持相等。通过使用OCD技术,减少DQ-DQS的倾斜度从而提高系统信号的完整性;通过控制电压提高信号的品质。

ODT:即片内终结电阻。实际上,不同的内存模组要求的终结电路不一样,而终结电阻的大小决定了数据线的信号比和反射率,终结电阻小的则数据线信号的反射低,但是信噪比也比较低;而终结电阻高的,则数据线的信噪比较高,但是信号的反射也会大大增加。DDR2能够设计并且借助内建电阻来自动调节,保证得到最佳的信号。

Post CAS:是为了提高DDR2的有效利用率来设定的。在Post CAS操作中,CAS信号能够被插入到RAS信号的后面的一个时钟周期上,CAS的命令也可以在附加延迟AL(Additive Latency)后面仍保持着有效。则原来的tRCD(RAS到CAS的时间延迟)会被AL所取代,AL可以被设置为0至4之间的整数。CAS的信号是放在了RAS信号后面额一个时钟周期上,所以ACT信号和CAS信号永不会产生交集而发生碰撞。但由于是采用双通道运行的,所运行的速度理论上是DDR

的2倍。

由于对 DDR2 SDRAM的访问方式是基于突发模式的;进行读写时,要事先选定一个起始的地址,并且能够按照事先编程设定的突发字节长度(4或8)和突发的顺序来依次的进行读或者写的访问.访问操作的开始于一个激活命令, 后面紧跟就是读数据命令或者写数据的命令。同激活命令同时到达的地址位包含着所要存取的bank和行.而与读命令或者写命令同步达到的地址位还包含了突发存取起始的列地址,还决定着是否发布自动预充电命令。

本次设计所采用的是Micron公司的芯片,其内部原理图结构如图2-1,其各个引脚功能在第四章讲述。图2-2为读数据的命令时序,图2-3为写命令的时序。

图2-1 Micron DDR2内部结构原理图。

突发读命令时序

图2-2 突发读操作突发写操作时序

图2-3 突发写操作

3 可编程逻辑器件原理

在数字电路中,由于对于时序的要求而来回反复的进行设计,因此而诞生了基于可编程的逻辑器件,从而能够快速的进行时序电路设计,而本次设计借助于基于LUT的FPGA可编程器件来进行设计。

3.1 FPGA原理

FPGA即现场可编程门阵列,采用了逻辑单元阵列LCA(Logic Cell Array)的这样一个概念,其内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA借助于小型查找表(16×1RAM)来实现组合逻辑,而每个查找表后面连接到一个D触发器输入端,由触发器驱动其他逻辑电路或者I/O,因此即能实现逻辑功能也能实现时序功能,通过金属连线进行互相连接或者连接到I/O模块。FPGA的逻辑功能的实现是通过向内部静态存储单元加载编程数据来完成的,存储在存储单元的值决定了逻辑功能和连线,并最终决定了FPGA所能完成的功能,从理论上FPGA允许无限次的编程。

3.2 FPGA结构

FPGA是基于查找表技术的,但已经整合了常用的基本功能(如RAM、时钟管理和DSP)的硬核(ASIC型)模块。如图3-1所示,FPGA芯片主要由7部分完成,分别为:可编程输入输出单元、基本可编程逻辑单元、完整的时钟管理、嵌入块式RAM、丰富的布线资源、内嵌的底层功能单元。

图3-1 FPGA芯片的内部结构示意图

每个模块的功能如下:

1.可编程输入输出模块(IOB)

可编程输入/输出单元简称I/O单元,是芯片与外界电路的完成电气连接的接口部分,FPGA内部的I/O是按组分类,每一组都能够支持独立的I/O标准。可以使用软件的灵活配置,来达到所需要的电气特性。I/O口的频率非常高,对信号的处理非常快。

为了更好的管理和达到多的电气要求,FPGA的IOB被划分成了若干个组(bank),每个bank的接口的电气标准由其接口电压的VCCO决定,一个bank 仅允许一种VCCO,但不同bank的VCCO可以是不同的。

2.可配置逻辑块(CLB)

CLB是FPGA内部的基本逻辑阵列单元。CLB的实际数量和特性根据所采用的器件的不同而各有不同,但每个CLB都包含着一个可配置的开关矩阵,此矩阵是由4或6个输入、一些多路选择器和触发器组成。

3.数字时钟管理模块(DCM)

大多数FPGA均给提供数字时钟管理。

4.嵌入式块RAM(BRAM)

大多数FPGA都都给内嵌的RAM块,使得FPGA的使用范围和灵活度同时也大大的提高。单片RAM块的容量为18kbits,即位宽为18bits、深度为1024,也可以根据需要改变位宽和深度,但要满足两个原则:

(1)位宽深度不能大于18kbits;

(2)位宽最大不能超过36bits。

可以讲ram块进行级联,来达到更大ram块,此时则不在首以上两点的限制。

5.丰富的布线资源

布线资源是FPGA内部各个单元的进行连接资源,其连线的跨度和工艺决定着电路中的信号在连线上驱动的能力和传输的速度。在设计中,布局布线器可以自动地选择布线资源来使得各个模块经行连接。

6.底层内嵌功能单元

内嵌功能模块主要指的是DLL(Delay Locked Loop)、PLL(Phase Locked Loop)、DSP和CPU等软处理核(SoftCore)。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。

加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA 进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM 编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。

3.3 FPGA器件开发的优点

使用FPGA器件设计数字电路,不仅仅可以简化设计的过程,而且可以降低整个系统的体积和成本,增加系统的可靠性。FPGA设计数字系统优势有一下几点

(1)设计灵活

使用FPGA器件,其逻辑功能不会有所限制,而且其逻辑的修改可以在系统设计和使用的过程中的任何阶段进行修改,而修改过后只需要对其重新在编译即可,给系统的设计带来了大的灵活性

(2)增加功能密度

功能密度是值在给的空间上所能集合成的逻辑功能的数据。可编程逻辑器件内所具有的资源很高,一片FPGA就可以替代几片、几十片、甚至是上百片中

小规模的数字集成电路芯片。用FPGA实现功能时,所使用的芯片数量很少,从而减少了使用芯片的数目,从而节省了PCB资源。

(3)提高可靠性

减少芯片和PCB印刷的数目,不仅能减小系统的规模,而且大大提高了系统的可靠性。具有较高的集成度系统比用许多低集成度标准芯片设计的相同系统具有高的可靠性。使用FPGA器件减少了实现系统所需要的芯片数目,在板级上,引线的减少和焊点数的减少,从而是得整个系统的可靠性能提高。

(4)工作速度快

FPGA器件的工作速度非常快,一般可以达到几百兆赫兹,远远大于其他绝大部分器件,而又使用FPGA器件后实现系统所需要的电路级数少,从而整个系统的工作速度就会增加。

(5)减小设计周期

由于FPGA器件的可编程性,设计一个系统所需要的时间比传统的方法大为缩短。FPGA器件集成度非常高,使用时板级设计简单。同时,在样板设计成功之后,由于开发工具先进,自动化高,对其进行逻辑修改液十分简便快捷。因此,使用FPGA器件可以大大缩短系统的设计周期,加快产品的投放市场的速度,提高产品的竞争力。

(6)增加系统的保密性

很多FPGA器件都具有加密功能,在系统中广泛的使用FPGA器件可以有效地防止产品的非法复制。

(7)成本的减低

使用FPGA器件实现系统时,首先,由于器件的修改方便,设计周期短,使系统的研制开发费用降低;其次,FPGA器件可使印刷线路板和需要的插接减少,从而使系统的制造费用降低;其三,使用FPGA使得系统的可靠性提高,维修工作量减少,进而使系统的维修费用降低。

4 外围电路设计

由于本次DDR2接口的设计完全是借助于FPGA的开发,所有仅需的FPGA

的核心电路即可,该模块包含FPGA供电电压、DDR2供电电压、配置编程模

块、晶振和复位模块,5V电压输入模块和18V电压输入模块。

4.1 芯片的选择和介绍

本次设计所采用的硬件元器件选型见表4-1。

表4-1 元器件选型表

名称型号功能简述FPGA芯片5CGXFC7C7F23C8 详细内容见3.1.1节。

DDR2芯片MT47H64M16 详细内容见3.1.3节。

1.8V/

2.5V电源TPS76801 将5V电源转成1.8V和1.1V,供给所需电路。

1.1V电源TPS62000 将5V电源转成1.5V,提供FPGA内核电压。

4.1.1 5CGXFC7C7F23C8介绍

本次设计的所采用的芯片为Cyclone V代(图4-1),为贴片型芯片。

图4-1 Cyclone V 芯片

Cyclone V代采用TSMC的28nm低功耗工艺进行开发的,降低了功耗,同时也提高了其性能。其具有非常丰富的I/O接口。

4.1.2 MT47H64M16介绍

本次设计所采用的DDR芯片为MT47H64M16,该芯片引脚图如4-2。

图4-2 MT47H64M16引脚图

其各引脚功能为:

A[12:0]:地址输入引脚,对于激活命令提供行地址,同时也是数据输入的地址。

BA[2:0]:bank选择输入引脚,用来确定DDR2里面的所要读写数据的bank。 CK,CK#:时钟输入信号,CK和CK#为差分时钟输入,所有的地址和控制信号在CK的上升沿和CK#的下降沿进行采样输入。

CKE:时钟的允许输入信号。

CS#:片选信号,高电平有效。

LDM,UDM,DM:输入数据的屏蔽信号,DM是数据写数据的屏蔽信号,当DM 为高时,数据允许输入。DM取样在DQS的上升沿和下降沿。LDM是DM中地8为即DQ[7:0],UDM是DM中的高8位,即DQ[15:8]。

ODT:可进行设置的内部终结电阻。

RAS#,CAS#,WE#:命令控制信号。

DQ[15:0]:双向数据总线,用来传输数据。

DQS,DQS#:数据选通。

LDQS,LDQS#:数据选通通道,对于低字节位。

UDQS,UDQS#:数选选通通道,对于高字节位。

VDD:器件的供电电路,供电电压1.8V,浮动范围不能超过0.1V。

VDDQ:DQ的电压输入端口,用来提高器件的抗干扰性。其电压为1.8V,浮动范围不能超过0.1V。

VDDL:DLL的电压输入端口,其电压为1.8V,允许电压浮动不能超过该电压的0.1V的差值。

VREF:SSTL_18的参考电压输入端口。

VSS:参考地端。

VSSDL:DLL的地端。

VSSQ:供电端,为DQ的端,用来提高抗干扰性。

NC:该引脚不进行连接。

NF:没有功能的引。

NU:没有使用的引脚。

RFU:保留的没有使用的引脚,A13的行地址。

4.1.3 TPS76801介绍

TPS76801(图4-3)为TI公司生产的一款交流——交流变压模块,其输入电压范围比较大,小至2.7V,大到10V,其输出电压范围为1.2V到5.5V。还具有热中断功能,并且响应速度非常快。

图4-3 TPS76801的引脚图

其引脚功能说明如下:

GND:参考接地端,一般接电源负极。

EN#::使能端,高电平时有效

IN:要转变电压的输入电压端口,其中可以取从2.7V到10V的电压。

PG:即power-good端口,用来指示输出的电压是否良好,当输出电压浮动超出2%时,其输出为高电平,否则为低电平。

FB:可调节电压的电压输入反馈,用分压网络来生成所需要的电压。

OUT:所需要的电压的输出引脚。

4.1.4 TPS62000介绍

TPS62000同样也是TI公司生产的一款直流——直流变压芯片,其输入电压范围较小,从2V到5.5V,但其输出电压可以从0.8V到其所输入电压直接变换,输出驱动电流较大。

图4-4 TPS62000的引脚图

其引脚功能如下:

Vin:电压所需要的转换的电压的输入引脚。

FC:旁路去耦端口,外接一个0.1uF的电容。

GND:参考零势能点。

PG:输出的电压是否良好的标志,PG和输出端借一个上拉电阻,当输出的

电压在正常输出的92%时,则是定电平,否则输出高电平。

FB:复合电压输出功能的反馈引脚,在可调节的模式下,外接分压电阻来获取所需要的电压。

PGND:电压的地引脚,所有的电压地全部链接到该引脚。

L:该引脚连接到一个电感上,该引脚是个开关引脚,在芯片中连接的内部MOSFETS的漏极。

EN:允许位,当高电平时开启,低电平时,强制该器件进入关闭模式来减少供电电流,小于1uA。

SYNC:同步输出一个额外的信号输入端,用来获取所需要的电压的转换频率和外部的达到一直。

ILIM:转换电流的限制,在ILIM和GND连接使其设置电流限制在600mA。或在ILIM和Vin之间连接,使其限制电流在1200mA。

4.2 FPGA电源设计

由于本次设计采用的是Cyclonev代,其所就有的电压值是1.1V,而且配置电路则需要2.5V,而由于有借助了PHY核,则有需要SSTL1.8的电压。

本次设计借助于TI公司的TPS768xx来经行2.5V、1.8V电压设计。同样,5V也是借用模块来直接使用,本次设计不做讨论。

本设计采用TI公司的TPS76801芯片产生2.5V电压,TPS76801的输出电压范围值为1.2V--2.5V,直流——直流变换芯片TPS76801工作在可调输出模式,其输出电压计算公式为:

Vo=Vref ×(1+R7/R8)

其中,Vref为内部参考电压,为1.1834V,实际可取值 R7=33.2k,R8=30.1k。

图4-5 2.5V产生模块

同理,可以借助TPS76801来设计1.8V电压模块,其中VCC=5V。

图4-6 1.8V电压产生模块

对于1.1V模块,由于TPS76801内部的参考电压大于要生成的电压,所以采用TPS62000器件,同样是TI公司生产的一款直流----直流变压模块,用来产生1.1V。其输出电压公式

Vo=Vref ×(1+R13/R14)

其中Vref为0.45V,由于Vo=1.1所有可取R13=13K,R14=9K

图4-7 1.1V电压产生模块(VCC=5V)

4.3 FPGA时钟和复位设计

一个可编程芯片,在上电的瞬间进行内部参数的初始化时需要一定的时间,虽然这个时间非常短,但这个时芯片却无法立即进入工作状态。通常称上电初始化这些工作为复位,能够完成这个功能的电路称之为复位电路。

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

基于PLC的锅炉温度控制系统毕业设计

基于PLC的锅炉温度控制系统 作者姓名xxx 专业自动化 指导教师姓名xxx 专业技术职务讲师

目录 摘要 (1) 第一章绪论 (3) 1.1课题背景及研究目的和意义 (3) 1.2国内外研究现状 (3) 1.3项目研究内容 (4) 第二章 PLC和组态软件基础 (5) 2.1可编程控制器基础 (5) 2.1.1可编程控制器的产生和应用 (5) 2.1.2可编程控制器的组成和工作原理 ··············错误!未定义书签。 2.1.3可编程控制器的分类及特点 (7) 2.2组态软件的基础 (8) 2.2.1组态的定义 (8) 2.2.2组态王软件的特点 (8) 2.2.3组态王软件仿真的基本方法 (8) 第三章 PLC控制系统的硬件设计 (9) 3.1 PLC控制系统设计的基本原则和步骤 (9) 3.1.1 PLC控制系统设计的基本原则 (9) 3.1.2 PLC控制系统设计的一般步骤 (9) 3.1.3 PLC程序设计的一般步骤 (10) 3.2 PLC的选型和硬件配置 (11) 3.2.1 PLC型号的选择 (11) 3.2.2 S7-200CPU的选择 (12) 3.2.3 EM235模拟量输入/输出模块 (12) 3.2.4 热电式传感器 (12) 3.2.5 可控硅加热装置简介 (12) 3.3 系统整体设计方案和电气连接图 (13) 3.4 PLC控制器的设计 (14) 3.4.1 控制系统数学模型的建立 (14)

3.4.2 PID控制及参数整定 (14) 第四章 PLC控制系统的软件设计 (16) 4.1 PLC程序设计的方法 (16) 4.2 编程软件STEP7--Micro/WIN 概述 (17) 4.2.1 STEP7--Micro/WIN 简单介绍 (17) 4.2.2 计算机与PLC的通信 (18) 4.3 程序设计 (18) 4.3.1程序设计思路 (18) 4.3.2 PID指令向导 (19) 4.3.3 控制程序及分析 (25) 第五章组态画面的设计 (29) 5.1组态变量的建立及设备连接 (29) 5.1.1新建项目 (29) 5.2创建组态画面 (33) 5.2.1新建主画面 (33) 5.2.2新建PID参数设定窗口 (34) 5.2.3新建数据报表 (34) 5.2.4新建实时曲线 (35) 5.2.5新建历史曲线 (35) 5.2.6新建报警窗口 (36) 第六章系统测试 (37) 6.1启动组态王 (37) 6.2实时曲线观察 (38) 6.3分析历史趋势曲线 (38) 6.4查看数据报表 (40) 6.5系统稳定性测试 (42) 结束语 (43) 参考文献 (44) 致谢 (45)

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

温度测控仪设计-毕业设计

温度测控仪设计 学生:XXX 指导教师:XXX 容摘要:本文主要介绍了智能温度测量仪的设计,包括硬件和软件的设计。先对该测量仪进行概括性介绍,然后介绍该测量仪在硬件设计上的主要器件:“Pt100热电阻”、AT89C51单片机和LCD显示器以及描述测量仪的总体结构原理。在本设计中,是以铂电阻PT100作为温度传感器,采用恒流测温的方法,通过单片机进行控制,用放大器、A/D 转换器进行温度信号的采集。总体来说,该设计是切实可行的。 关键词:温度 Pt100热电阻 AT89C51单片机 LCD显示器

Design of and control instrument Abstract: This paper describes the design of the intelligent temperature measuring instrument, including hardware and software design. Be the first general description of the measuring instrument, and then describes the hardware design of the measuring instrument's main device: "Pt100 thermal resistance", AT89C51 microcontroller and LCD display, and describe the principle of measuring the overall structure. In this design, as is the PT100 platinum resistance temperature sensor, temperature measurement using constant current method, through the microcontroller to control, amplifier, A/D converter for temperature signal acquisition. Overall, the design is feasible. Keywords:temperature Pt100 thermal resistance AT89C51 microcontroller LCD monitor .

电子类毕业设计题目

盼盼电子设计网本网站承接电子类毕业设计论文一条龙服务!!! 电子毕业设计:12 1.基于FPGA的PCI总线设计 2.基于FPGA的UART接口设计 3.基于单片机的数字电压表 4.单片机控制的全自动洗衣机毕业设计 电梯控制的设计与实现 6.恒温箱单片机控制 7.单片机脉搏测量仪 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 变电所一次系统设计 11.报警门铃设计论文 单片机交通灯控制 13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16.基于单片机的电子密码锁 17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现

19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计 23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文 25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计 27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统毕业论文 29.宽带视频放大电路的设计毕业设计 30.简易数字存储示波器设计毕业论文 31.球赛计时计分器毕业设计论文 数字滤波器的设计毕业论文 机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文 35. 基于labVIEW虚拟滤波器的设计与实现序列在扩频通信中的应用 37.正弦信号发生器 38.红外报警器设计与实现 39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文

41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机毕业设计论文 43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计 45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文 47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文 49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文 51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文 53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文 55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文 57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论 59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文 61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文

温度控制系统毕业设计

摘要 在日常生活及工农业生产中,对温度的检测及控制时常显得极其重要。因此,对数字显示温度计的设计有着实际意义和广泛的应用。本文介绍一种利用单片机实现对温度只能控制及显示方案。本毕业设计主要研究的是对高精度的数字温度计的设计,继而实现对对象的测温。测温系数主要包括供电电源,数字温度传感器的数据采集电路,LED显示电路,蜂鸣报警电路,继电器控制,按键电路,单片机主板电路。高精度数字温度计的测温过程,由数字温度传感器采集所测对象的温度,并将温度传输到单片机,最终由液晶显示器显示温度值。该数字温度计测温范围在-55℃~+125℃,精度误差在±0.5℃以内,然后通过LED数码管直接显示出温度值。数字温度计完全可代替传统的水银温度计,可以在家庭以及工业中都可以应用,实用价值很高。 关键词:单片机:ds18b20:LED显示:数字温度. Abstract In our daily life and industrial and agricultural production, the detection and control of the temperature, the digital thermometer has practical significance and a wide range of applications .This article describes a programmer which use a microcontroller to achieve and display the right temperature by intelligent control .This programmer mainly consists by temperature control sensors, MCU, LED display modules circuit. The main aim of this thesis is to design high-precision digital thermometer and then realize the object temperature measurement. Temperature measurement system includes power supply, data acquisition circuit, buzzer alarm circuit, keypad circuit, board with a microcontroller circuit is the key to the whole system. The temperature process of high-precision digital thermometer, from collecting the temperature of the object by the digital temperature sensor and the temperature transmit ted to the microcontroller, and ultimately display temperature by the LED. The digital thermometer requires the high degree is positive 125and the low degree is negative 55, the error is less than 0.5, LED can read the number. This digital thermometer could

FPGA毕业设计论文英文

[1] Using FPGA technology towards the design of an adaptive fault tolerant framework Erdogan, Sevki (University of Hawaii); Gersting, Judith L.; Shaneyfelt, Ted; Duke, Eugene L. Source: Conference Proceedings - IEEE International Conference on Systems, Man and Cybernetics, v 4, IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, 2005, p 3823-3827 ISSN: 1062-922X CODEN: PICYE3 Conference: IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, Oct 10-12 2005, Waikoloa, HI, United States Sponsor: IEEE Systems, Man and Cybernetics Society Publisher: Institute of Electrical and Electronics Engineers Inc. Abstract: In this paper we propose architecture for a Reconfigurable, Adaptive, Fault-Tolerant (RAFT) framework for application in real time systems with require multiple levels of redundancy and protection. Typical application environments include distributed processing, fault-tolerant computation, and mission and safety-critical systems. The framework uses Field Programmable Gate Array (FPGA) technologies with on the fly partial programmability achieving reconfiguration of a system component when the existing components fail or to provide extra reliability as required in the specification. The framework proposes the use an array of FPGA devices to implement a system that, after detecting an error caused by a fault, can adaptively reconfigure itself to achieve fault tolerance. The FPGAs that are becoming widely available at a low cost are exploited by defining a system model that allows the system user to define various levels of reliability choices, providing a monitoring layer for the system engineer. ? 2005 IEEE. (21 refs.) [2]METHOD FOR PROTECTING COMPUTER THROUGH REAL-TIME MONITORING BY PROTECTING EXECUTION FILE, AND COMPUTER AND SYSTEM PROTECTED BY THE SAME Patent number: KR20040083409 Publication date: 2004-10-01 Inventor: AHN MU GYEONG Applicant: SAFEI CO LTD Classification: - international: G06F11/30; G06F11/30; (IPC1-7): G06F11/30 - european: Application number: KR20040072633 20040910 Priority number(s): KR20040072633 20040910 View INPADOC patent family View forward citations

(完整版)基于51单片机智能温度控制器设计与实现毕业设计

题目基于51单片机智能温度控制器设计与实 现 本题目要求设计者以智能温度控制器为对象,完成硬件系 统和软件设计并实现其功能。 1.熟悉任务,分析课题要求,熟悉温度控制器的原理, 进行方案设计; 2.熟悉硬件设计技术基础、单片机应用系统设计要领, 根据本课题的特点选择相应器件; 3.搜集素材,优选素材,整理素材; 4.完成所硬件电路的装配和调试,编写程序实现其功 能; 5.撰写毕业设计论文。 6.参加毕业设计论文答辩。

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可

以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和

基于FPGA的数字存储示波器的设计毕业设计

本科生毕业设计 基于FPGA的数字存储示波器的设计Design a digital oscillograph based on FPGA

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

基于FPGA的液晶显示设计毕业设计论文

诚信申明 本人申明: 我所呈交的本科毕业设计(论文)是本人在导师指导下对四年专业知识而进行的研究工作及全面的总结。尽我所知,除了文中特别加以标注和致谢中所罗列的内容以外,论文中创新处不包含其他人已经发表或撰写过的研究成果,也不包含为获得北京化工大学或其它教育机构的学位或证书而已经使用过的材料。与我一同完成毕业设计(论文)的同学对本课题所做的任何贡献均已在文中做了明确的说明并表示了谢意。 若有不实之处,本人承担一切相关责任。 本人签名:年月日

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

相关主题