搜档网
当前位置:搜档网 › 篮球竞赛24s计时器.(DOC)

篮球竞赛24s计时器.(DOC)

篮球竞赛24s计时器.(DOC)
篮球竞赛24s计时器.(DOC)

电子课程设计

——篮球竞赛24s计时器

学院:电子信息工程学院

专业:

姓名:

学号:

指导教师:

2014年12月

目录

一、设计任务与要求----------------------------3

二、总体框图----------------------------------3

三、选择器件----------------------------------4

四、功能模块---------------------------------10

五、总体设计电路图---------------------------14

六、硬件调试---------------------------------17

七、心得与总结-------------------------------17

篮球竞赛24s 计时器

一 、设计任务与要求

1、设计一个具有显示24S 计时功能的篮球竞赛计时器。

2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。

3、计时器为24S 递减计时器,其时间间隔为0.01秒。

4、计时器减计时到零时,发出报警信号。

二 、基本原理与电路框图

1. 电路框图

篮球竞赛24秒计时器的电路框图如图2-1所示。

图2-1 电路框图

2. 基本原理

(1) 24秒计时器的总体参考方案框图如图1所示。它包括秒脉冲发生器、

计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路) 等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒 计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。

十位显示 秒脉冲触发器

控制电路

计数器 计数器 报警电路

个位显示

(2) 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。

(3) 译码显示器是DCD_HEX七段发光二极管。报警电路在实验中可用发光二极管和蜂鸣器组成。

三、选择器件

1.原件清单

该设计所用的器件如表3-1所示。

表3-1元器件清单

序号用途型号数量

1 十进制计数器74LS19

2 2片

2 多谐振荡器CM555 1片

3 二输入或门74LS32 1片

4 二输入与门74LS08 1片

5 八输入或门1片

6 六输入或非门1个

7 数码管2个

8 灯泡1个

9 蜂鸣器1个

10 电阻、电容若干

2. 元件介绍

(1)74LS192

74LS192为可置数的同步十进制双时钟加减计数器,如图3-1所示它具有上升沿有效的加计数时钟端UP和减计数时钟端DOWN;该计数器具有异步清零端,当清零信号CLR为高电平时,实现清零功能;该计数器还有异步置数功能,当置数信号LOAD为低电平时,实现预置数;当计数器加计数,且计数值为9时,进位端CO输出宽度等于加计数脉冲UP的低电平脉冲;当计数器减计数,

且计数值为0时,借位端BO 输出宽度等于减计数脉冲DONW 的低电平脉冲。执行加数功能时,减计数端DOWN 接高低电平,计数脉冲由UP 端输入;执行减数功能时,加数端UP 接高电平,计数脉冲由减数端DOWN 输入。74LS192的管脚图如图3-1所示。74LS192的功能表如表3-2所示。

U1

74LS192D

A 15

B 1

C 10D

9

UP 5QA 3QB 2QC 6QD

7

DOWN

4~LOAD 11~BO 13

~CO 12

CLR 14

图3-1 74LS192的管脚图

表3-2 74LS192功能表

输 入

输 出

CR LD’ UP DOWN D C B A QD QC QB QA 1 * * * * * * * 0 0 0 0 0 0 * * a b c d a

b

c

d

0 1 ↑ 1 * * * * 加计数功能 0

1

1

*

*

*

*

减计数功能

(2)555定时器

555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为555。555定时器的电源电压范围宽,可在 4.5V~16V 工作。555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现本设计所需的单稳态触发器。它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3。

①其逻辑框图

555定时器的逻辑框图如图3-2所示。

图3-2 555逻辑框图②内部原理结构

555定时器的内部原理结构如图3-3所示。

图3-3 555内部原理结构③功能表

在1脚接地,5脚未外接电压,两个比较器A1、A

2基准电压分别为

CC

CC

V

3

1

,

V

3

2

的情况下,555时基电路的功能表如表3-3所示。

4 3

2

1

D

C B A

5K

5K

5K

+

-

T

Q

&

&

Q

+

-

A

A

S

R

V1

V2

1

D

Q

V

TH

TL

V R

CC

V SS

1

2

84

5

6

3

7

1

2

C

D

表3-3 555时基电路的功能表

清零端D R 高触发端TH

低触发端TL

Q n+1 放电管T 功能 0 ?

?

0 导通 直接清零 1 CC V 32

>

CC V 31

> 0 导通 置0 1 CC V 32

< CC V 31

< 1 截止 置1 1

CC V 3

2

< CC V 3

1

> Q n

不变

保持

(3)数码管

数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由 a 、b 、c 、d 、e 、f 输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由 a 、b 、c 、d 、e 、f 输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

由于计数器输出的是8421BCD 码,数码管不能直接显示成数字,为了让数码管显示人们看懂的数字,就需要把计数器输出的8421BCD 码转换成数码管显示的阿拉伯数字,这就需要译码器的翻译。

本设计采用DCD_HEX 七段发光二极管译码显示器。DCD_HEX 为共阴极LED 数码管。显示器引脚从左到右依次为:4,3,2,1。该显示包含了译码功能,所以无需专门的译码器。 数码管的符号如图3-4所示。

图3-4 数码管的逻辑符号

(4)与门

与门是实现“与”运算的门电路。与门的逻辑符号如图3-5所示,与门的功能表如表3-4所示。

图3-5 与门的逻辑符号

表3-4 与门的功能表

输入输出

A B Y

0 0 0

0 1 0

1 0 0

1 1 1

(5) 或门

或门是实现逻辑“或”运算的逻辑电路。或门的电路图如图3-6所示,或门的功能表如表3-5所示。

图3-6 或门的符号

表3-5 或门的功能表

输入输出

A B Y

0 0 0

0 1 1

1 0 1

1 1 1 (6)或非门

或非门实现或非逻辑。其符号如图3-7所示。

U1

NOR6

图3-7 或非门的符号

其功能表(真值表)如表3-6所示。

表3-6 或非门真值表

输入输出

A B Y

0 0 1

0 1 0

1 0 0

1 1 0

四、功能模块

1. 秒脉冲发生器

用555集成电路构成的多谐振荡器组成的秒脉冲发生器。原理与功能见

上页,公式如下:Tw1=0.7 (R2 +R1) C1 Tw2=0.7R1 C1。振荡周期计算公式:T=0.7 (R2+2R1) C1≈0.01s。秒脉冲发生器的电路图如图4-1所示。

图4-1 秒脉冲发生器

2. 24S倒计时电路

根据设计要求实现二十四进制递减,所以该电路需要使用两个十进制同步减法计数,因此使用2个74LS192芯片级联来实现技术功能;又因为该计数器是24进制循环,所以还使用了8输入或门。

74LS192级联是把两个置数段相连,因为要求是递减的24进制,所以把up 端接在电源处,把第一个芯片的减计数脉冲DOWN与第二个芯片的借位端BO 相连;另一要求是24进制循环,因此还需要使用8输入或门来实现,当两个芯片计数到“00”时,8输入或门输出“0”送给置数端,使芯片又显示成“24”,24秒倒计时电路的电路图如图4-2所示。

图4-2 24s倒计时电路

3. 控制开关的设计

在本次设计中,由于设计的要求,要实现计数器的暂停、置数和回秒控制,

所以需要设计三个开关来控制电路。 (1)置数开关、清零开关

该设计要求24进制递减且循环具有置数、清零的作用,因此需要加入与门和或门。将8输入或门的输出端连接在与门的一端,与门的另一端接在置数开关之前;将或门的一端接在与门的输出端,或门另一端接在清零开关之后,其输出端接在两个芯片的置数端LOAD 相连处。电路如图4-3所示。

U1

74LS192D

A 15

B 1

C 10D

9

U P 5Q A 3Q B 2Q C 6Q D

7

D O W N

4~L O A D 11~B O 13

~C O 12

C L R 14U2

74LS192D

A 15

B 1

C 10D

9

U P 5Q A 3Q B 2Q C 6Q D

7

D O W N

4~L O A D 11~B O 13~C O 12

C L R 14U3

DCD_HEX

4321

U4

DCD_HEX

4321

VCC 5V

U5OR8

U6OR2

U7AND2

J1

Key = 2

R1

10kOhm_5%X1

2.5 V

U9

NOR6

J2

Key = 1

V1100 Hz 5 V

U8

200 Hz

图4-3 置数、清零开关电路图

(3)控制开关

因为555产生秒脉冲全靠给C2充放电产生,所以只需中断C2的充放电即

可,所以在C2的另一端用一个开关控制接地,这就形成了暂停/连续开关,暂停开关的电路图如图4-4所示。

图4-4 暂停开关电路图

4. 报警电路

当数码管显示00的时候,即倒计时结束,灯泡和蜂鸣器会发出报警。报警电路如图4-5所示。

图4-5 报警电路图

五、总体设计电路图

根据设计要求用555集成电路构成的多谐振荡器组成的秒脉冲发生器。实现二十四进制递减,所以该电路需要使用两个十进制同步减法计数,因此使用2个74LS192芯片级联来实现技术功能;又因为该计数器是24进制循环,所以还

使用了8输入或门。要实现计数器的暂停、置数和回秒控制,所以需要设计三个开关来控制电路。当数码管显示00的时候,即倒计时结束,灯泡和蜂鸣器会发出报警。篮球竞赛24秒计时器是的总体电路如图5-1所示。

U1

74LS192D

A 15

B 1

C 10D

9

U P 5Q A 3Q B 2Q C 6Q D

7

D O W N

4~L O A D 11~B O 13~C O 12

C L R 14U2

74LS192D

A 15

B 1

C 10D

9

U P 5Q A 3Q B 2Q C 6Q D

7

D O W N

4~L O A D 11~B O 13~C O 12

C L R 14U3

DCD_HEX

4321

U4

DCD_HEX

4321

VCC 5V

U5OR8

U6OR2

U7AND2

J1

Key = 2

R1

10kOhm_5%X1

2.5 V

U8

200 Hz

U9

NOR6

J2

Key = 1

U10LM555CM

GND

1

DIS 7OUT 3

RST 4

VCC 8THR 6CON

5

TRI 2R2

10kOhm_5%

R3

2.2kOhm_5%

C11.0uF

VCC

5V

C21.0uF

J3

Key = 3

图5-1 篮球竞赛24秒计时器总体电路

1. 计时预备阶段

该设计是篮球球竞赛24秒计时器,所以要求是24进制递减计数,开始仿真时,置数、清零、暂停3个开关全都处于断开状态,数码管显示的是24。电路如图5-2所示。

图5-2 计时具备阶段电路2. 计时阶段

电路开始计时的电路图如图5-3所示。

图5-3 电路计时阶段的电路

3. 暂停电路

在电路运行的状态下,按下开关3使暂停开关接通,计数停止,电路如图5-4所示。

图5-4 暂停电路

4. 电路报警

在电路运行的状态下,电路持续递减直到数码管显示为“00”时,电路发生报警,灯x1亮,电路如图5-5所示。

图5-5 报警电路

六、硬件调试

实验室里没有足够的元器件,所以有些元件需要筛减并对主要电路进行了硬件操作验证。我只做了一个74LS192异步置数从9递减到0是把借位端BO和非门相连,之后非门输出和置数端LOWN相连;把清零端CLR接在开关上;因为实验室没有四输入或非门,因此可用或门和非门来替代,输出端Y接在LED上。

开关S1接高电平显示器显示0,接低电平正常工作。

显示器显示0输出端Y输出1,LED灯亮,其他情况则不亮。

七、设计心得与总结

这次的课程设计我学到了好多,通过Multisim软件,可以很方便的实现计算机仿真和虚拟实验,与传统的实验方法相比,通过Multisim仿真可实现设计与实验可以同步进行,且修改电路容易,连线直观。但是在调试的过程中也遇到了很多麻烦,浪费了很多时间,有的是电路图设计的不对,有的是因为对逻辑电路的理解不到位,所以在修改的过程中困难也是不小的。在这期间,我查了很多资料,有的器件在理论上可行,但是在实际运行的过程中确是不可以的,因此我有时得不到仿真的结果就不断换器件重新连线是电路运行。Multisim软件有时会出问题,在理论上可行的电路在调试中未必能显示出来,这就需要不断地尝试才能得出正确的答案。

通过课程设计我对学过的数电知识有了总体上的把握,对数电课程系统认真的复习了一遍,特别对一些能见如74LS192.多谐振荡器555等有了深刻的理解和认识,对逻辑门电路的理解也进一步理解了。同时在设计的过程中学会了独立思考解决问题,提高了逻辑思维能力,在逻辑电路的分析和设计上有了很大进步。纵观整个课程设计,收获颇丰。

篮球24s计时器课程设计

数字电路课程设计报告 课程设计名称篮球比赛24s计时器设计 指导老师XXXXXX 学院水电学院 专业班级XXXXXXXXXXX1班 姓名XXXX 学号XXXXXX 联系电话XXXXXXX 日期2011-12-29

摘要 数字电子技术主要研究各种逻辑门电路、集成器件的功能及其应用,逻辑门电路组合和时序电路的分析和设计、集成芯片各脚功能.555定时器等. 随着计算机科学与技术突飞猛进地发展,用数字电路进行信号处理的优势也更加突出。 数字电子技术课程设计是数字电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 本课程设计是脉冲数字电路的简单应有,设计了篮球竞赛24秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便的实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要有以下3个部分组成,即计时模块、控制模块、以及译码显示模块。在设计计时器时,采用模块化设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 在本课程设计任务书中对篮球竞赛24秒计时器的功能以及它的原理做了介绍。 关键字:24秒计时器七段数码管译码显示电路控制电路报警电路 一计数器概述 1.1篮球竞赛24秒计时器功能 数字电子技术在社会生活中发挥着越来越重要的作用,在生活中有着各种各样的应用。因此课程设计是数字电子技术学习中非常重要的一个环节,它将学生的理论知识和实践能力统一起来,为以后的工作做好准备。 在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛24秒计时器。此计时器功能齐全,有显示24秒倒计时的功能,同时系统设置外部操作开关,控制计时器的直

篮球竞赛30s计时器

沈阳航空航天大学 课程设计 (说明书) 篮球竞赛30秒计时器设计 班级机电1303 学号2013040604110 学生姓名曾闯 指导教师孙琦

一、概述 随着信息时代的到来,电子技术在社会生活中发挥越来越重要的作用,运用模电和数电知识设计电子产品成为社会生活不可缺少的部分。就计时器来说,成为检验运动员成绩的一种重要工具。 电子课程设计是电子技术学习中一个重要的环节,可以真正的锻炼学生的能力。在许多领域中计时器得到了普遍应用,如体育比赛,定时报警器,游戏中的倒时器,交通信号灯,红绿灯,行人灯等,说明了计时器的重要性。篮球竞赛中规定了球员持球的时间不能超过30s,否则就为犯规,本课程设计的正是“篮球竞赛30s计时器”,可用于篮球比赛中,对球员持球时间做30s限制,一旦球员持球时间超过30s,它自动报警从而判断球员犯规。 本次课设要求完成一个用于篮球竞赛的30秒计时器。 设计要求 ①篮球竞赛30秒计时器的直流稳压电源要求自己设计。 ②在选择器件时,应考虑成本,要求采用LED灯显示。 ③根据技术指标,通过分析计算确定电路和元器件参数。 ④画出电路原理图(元器件标准化,电路图规范化)。 二、方案设计 该电路包括脉冲发生器、计数器、译码显示电路、控制电路、报警电路、直流稳压电源6个部分组成。计数器和控制电路是主要部分。计数器完成30s计时功能,控制电路完成启动计数、暂停/连续计数、译码显示电路的显示和灭灯等功能。总体设计框图如下: 在篮球比赛过程中经常需要计时操作,设计一个用于篮球竞赛的30秒计时器。其原理如图1所示。 图1 篮球竞赛30秒计时器原理框图 能完成的功能:①显示30s倒计时功能;②系统外部设置操作开关,控制计时器直接清零、启动和连续/暂停功能;③在清零时数码管显示“00”;④计时

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

篮球24S可控计时器

EDA设计基础实验课程论文 题目篮球24S可控计时器 学院通信与电子工程学院 专业班级电子101 学生姓名大彬哥 指导教师大力会 2013年6月18日

摘要 本次设计是基于FPGA的篮球计时器设计,利用Verilog HDL语言和Quartus II软件以及FPGA的实验操作平台来实现的。重点是用硬件语言Verilog HDL来描述篮球计时,偏重于软件设计。本次通过Verilog HDL语言编写一个具有显示24秒倒计时功能的计时器,计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 关键词:Verilog HDL语言 Quartus II软件篮球计时器

Abstract This design is the basketball timer design based on FPGA, using Verilog HDL language and Quartus II software and FPGA experimental platform to achieve. The focus is on using Verilog language HDL to describe time basketball, focused on the software design. Through this Verilog HDL language with a display timer of 24 seconds countdown timer, timer of 24 seconds decrease time the time interval of 1 second; timer decrease time to zero, digital display does not light, issued at the same time, photoelectric alarm signal. Keywords: Verilog HDL Quartus II basketball timer

篮球比赛24秒计时器

湖南工业大学 数字电路课程设计 指导老师:张学毅 学院:电气与信息工程 班级:电气工程1001 姓名:席献斌 学号:10401701008

一、制作任务 制作一个时间计时器,用于篮球赛控制时间的限时警示电路。 二、设计要求 1、具有24秒计时功能 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时,计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 三、总体参考方案

包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示与灭灯等功能。当计时器递减计时到零(既定时时间到)时,显示器上显示00,同时二极管闪亮。 设计思路:秒脉冲信号经过递减计数器,译码器,再由数码管显示出来,中间包括控制电路。 四、主要元器件原理介绍 1、共阴极数码管 数码显示器可显示系统的运行状态及工作数据,我们所选用的是发光二极管(LED)显示器,它分为两种,共阴极(BS201/202)与共阳极(BS211/212),我们所选的是共阴极,它是将发光二极管的阴极短接后作为公共极,当驱动信号为高电平时,阴极必须接低电平,才能够发光显示。共阴极数码管的外引脚及内部电路如下图:

2、七段显示译码器74LS48 驱动共阴极显示器的译码器输出为高电平有效,所以选用74LS48驱动共阴极的发光二极管显示器。 下图是74LS48外引线排列图与功能表: 74LS48工作原理:译码器输入端为二进制码,经译码器后,输出端分别与七段显示器的的输入端对应连接。⑴消隐(灭灯)输入端BI为低电平有效。当消隐(灭灯)输入端BI =0 时,不论其余输入端状态如何,所有输出为零,数码管七段全暗,无任何显示;当消隐输入端BI =1 时译码器译码。⑵灯测试(试灯)输入端LT 为低电平有效。当灯测试(试灯)输入端=0(/ =1)时,不论其余输入端状态如何,所有输出为1,数码管七段全亮,显示8。可用来检查数码管、译码器有无故障;当灯测试输入端LT =1 时译码器译码。⑶脉冲消隐(动态灭灯)输入RBI 为低电平有效。当RBI =1时,对译码器无影响;当BI =LT =1 时,若RBI =0,输入数码是十进制的零时,数码管七段全暗,不显示;输入数码不为零时,则照常显示。在实际使用中有些零是可以不显示的,如004.50 中的百位的零可不显示;若百位的零可不显示,则十位的零也可不显示;小数点后第二位的零,不考虑有效位时也可不显示。脉冲消隐输入RBI =0 时,可使不显示的零消隐。

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

篮球24秒计时器

电子课程设计 ——篮球24秒计时器 学院:电子信息工程 专业:电子101501 姓名: 学号:201015020131 指导教师: 2012年12月

篮球24秒计时器 一设计任务与要求 (1)有显示24秒的计时功能; (2)置外部操作开关,控制计时器的直接清零,起碇和暂停\连续功能; (3)计时器为24秒递减计时器,其间隔时间为1秒; (4)计时器递减计时到零时,数码显示器不能灭灯,就发出光电报警信号。 二、总体框图 方案一:利用VHDL语言构成的24s篮球可控计时器 方案二:由各个单元模块构成的24s篮球可控计时器

从框图可知:方案一是完全利用VHDL语言编写各个模块,然后将所有模块连接进行仿真及测试;而方案二是利用已有的芯片构成相应模块,后组合连接仿真。方案二在设计中有一定的简便性且不用编写繁琐的程序,因此,以下设计采用方案二。 篮球24秒计时器,它包括秒脉冲发生器、计数器译码显示、控制电路和报警电路五部分组成。其中计数器和控制电路是电路的主要部分。计数器完成24秒计时功能。而控制电路完成电路的直接清零、启动计数、暂时/连续计数;译码显示电路的显示与亮灯和到时间启动报警功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准。 注:(1)由于实验箱具备提供不同频率的脉冲,在具体设计时将省略秒脉冲发生器部分。 (2)为了能下载到实验箱验证结果,方案二的译码电路部分采用方案一的显示电路。 三、选择器件 (1)同步十进制双时钟加减计数器74LS192(以下简称74192) (2)四2输入与非门74LS00(以下简称7400) (3)EP1C12核心板白色按钮(PB0,PB1) (4)EP1C12核心板贴片二极管(LED3) (5)EP1C12核心板数码管(仅两位) 四、功能模块 (1)25进制减法计数器 此部分采用两个74192构成25进制减法计数器,具备置数和清零的功能。

电子线路课程设计—篮球竞赛30秒计时器汇总

目录 1 设计课题任务、功能要求说明及总体方案介绍 (1) 1.1 设计课题任务 (1) 1.2 功能要求说明 (1) 1.3 总体方案介绍 (1) 2 单元电路的设计 (2) 2.1 秒脉冲发生器 (2) 2.2 计数器 (4) 2.3 译码显示 (4) 2.4 控制电路 (5) 2.5 报警电路 (5) 2.6 电路原理图和PCB图 (5) 3 芯片引脚及功能说明 (6) 3.1 555定时器 (6) 3.2 74LS161 (7) 3.3 74LS192 (7) 3.4 74LS48 (8) 4 仿真结果及分析 (10) 4.1 仿真总原理图 (10) 4.2 仿真结果 (11) 小结 (12) 元件清单 (13) 参考文献 (14) 附录 (15) 附录A:电路原理图 (15) 附录B:电路PCB图 (16)

1 设计课题任务、功能要求说明及总体方案介绍 1.1 设计课题任务 设计并制作一个篮球竞赛计时器 1.2 功能要求说明 1.准确计时,具有显示30秒计时功能; 2.设置外部操作开关,控制计时器的直接清零、启动和暂停、连续功能; 3.计时器为30s递减计时器,其计时间隔为1s; 4.计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 1.3 总体方案介绍 篮球竞赛30秒计时器的总体方案框图如图1.1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。其中计数器和控制电路时系统的主要模块。计数器完成30秒技术功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示、定时完成报警等功能。 图1.1 30秒计时器的总体方案框图

数电课程设计篮球24秒倒计时

目录 前言 ............................................ 错误!未定义书签。第一章计时器 ................................................ - 2 - 1.1篮球竞赛24秒计时器功能................................ - 2 - 1.2 设计任务及要求 (2) 1.2.1基本要求 (2) 1.2.2 设计任务及目标..................................... - 2 - 1.2.3 主要参考器件:..................................... - 3 - 第二章电路设计原理与单元模块 .. (3) 2.1 设计原理 (4) 2.2 设计方案 (4) 2.3 单元模块 (4) 2.3.1 8421BCD码递减计数器模块 (5) 2.3.2 时钟模块 (7) 2.3.3 辅助时序控制模块 (8) 第三章实验体会 (10)

第一章计时器概述 1.1篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 1.2 设计任务及要求 1.2.1基本要求 1. 具有24秒计时功能。 2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3. 在直接清零时,要求数码显示器灭灯。 4. 计时器为24秒递减时, 计时间隔为1秒。 5. 计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

数电课程设计-篮球24s倒计时器

课程设计报告 课题名称:篮球比赛24秒倒计时电路的设计 (Basketball 24 seconds countdown circuit design)专业:xxxxxxx 班级:xxxxxxx 学号:xxxxxxx 学生姓名:xxxxxxx 指导教师:xxxxxxx x年x月x日

课程设计目的 1、围绕课程设计的内容,培养学生查询相关资料以及文献检索的能力; 2、培养学生对以往所学知识的综合运用能力;在理解透课堂所讲知识的基础上,提高学生 的自学能力; 3、培养学生了解并逐步熟悉科学研究的整个过程,养成良好的科学态度以及实事求是、严 谨塌实的工作作风;培养学生独立分析问题和解决问题的科学研究的能力; 课程设计内容 (1)设计要求: ① 设计一个篮球比赛24秒计时器,具备显示24秒计时功能; ②计时器为递减工作,时间间隔为1S : ③设置外部开关,控制计时器的启动、暂停及清零; ④递减到零时发出声光报警 : ⑵原理方框图图: 包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路) 等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1其中计数器和控制电路是 系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计 数器、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。当计时器递 减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。 ⑶实际电路图: 秒脉冲 发生器 计数器 译码 显示 控制电路 报警 电路

⑷单元电路: ①8421BCD 码加法计数器模块 计数器选用汇总规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同 步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。 图1是74LS192外引脚及时序波形图。图中U CP 、D CP 分别是加计数、减计数的时钟脉冲输入端(上升沿有效)。LD 是异步并行置数控制端(低电平有效), CO 、BO 分别 是进位、借位输出端(低电平有效),CR 是异步清零端,D3-D0是并行数据输入殿,Q3-Q0 是输出端。 图1 74LS192外引脚及时序波形 74192的功能表见下表2所示。其工作原理是:当LD =1,CR=0时,若时钟脉冲加到U CP 端,且D CP =1则计数器在预置数的基础上完成加计数功能,当加计数到9时,CO 端发出 进位下跳变脉冲;若时钟脉冲加到U CP 端,且D CP =1,则计数器在预置数的基础上完成加计数功能。 表2 74LS192功能表

NBA篮球竞赛24秒计时器设计

NBA篮球竞赛24秒计时器的设计 一.计时器电路的设计要求 要求:设计一个24秒计时器显示报警系统:电路启动后开始倒计时,计时间隔为1s,并要求由555定时器构成的多谐振荡器产生周期为1s的脉冲信号,作为计数器的时钟脉冲, 二位7段显示秒,倒计时至0秒时,电路报警。报警声持续3S,显示器不能灭灯.计时器的直接清零、启动和暂停/连续功能利用外部开关控制。 二.系统设计结构框图 根据给定设计任务及要求,确定系统原理框图如图1所示。图中,计数器完成24秒倒计时功能, 控制电路完成计数器的直接清零、启动计数、暂停/连续计时,译码显示电路的显示与熄灭、定时时间到报警功能。 图1.系统设计结构框图 三.电路设计所采用的主要芯片简介 1.74LS192集成计数器 (1)74LS192引脚图如下

2.555定时器 555 定时器是一种模拟和数字电路混合的集成电路。它结构简单、性能可靠、使用灵活,在波形的产生与变换、测量与控制、家用电器、电子玩具等许多领域中都得到了应用。目前生产的定时器有双极型和CMOS 两种类型.通常,双极型定时器具有较大的驱动能力,而CMOS 定时器具有低功耗、输入阻抗高等优点。555 定时器工作的电源电压范围很宽,并可承受较大的负载电流。 74LS47 用于驱动共阳极数码管,其输出端与七段显示器相连,七段显示器用于显示数字和字符。它由7 条发光二极管(a~g)和 1 个点状发光二极管(h) 组成。是共阴极器件(阴极接地),给a~g 高电平,相应的二极管发光。

四.电路各个功能部分设计 1.标准秒脉冲发生电路 标准秒脉冲发生电路如图2所示, 它是由555定时器组成的多谐振荡器。定时元件R1 为630kΩ, R2 为400kΩ, C为1μF,产生1Hz的标准脉冲信号。 计算公式如下: 充电时间为=(+)Cln2 ≈ 0.7 (+) C 放电时间为=Cln2 ≈ 0.7 C 振荡周期为T =+≈ 0.7 (+ 2 ) C 振荡频率为 图2.标准秒脉冲发生电路

篮球竞赛24s计时器.(DOC)

电子课程设计 ——篮球竞赛24s计时器 学院:电子信息工程学院 专业: 姓名: 学号: 指导教师: 2014年12月

目录 一、设计任务与要求----------------------------3 二、总体框图----------------------------------3 三、选择器件----------------------------------4 四、功能模块---------------------------------10 五、总体设计电路图---------------------------14 六、硬件调试---------------------------------17 七、心得与总结-------------------------------17

篮球竞赛24s 计时器 一 、设计任务与要求 1、设计一个具有显示24S 计时功能的篮球竞赛计时器。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、计时器为24S 递减计时器,其时间间隔为0.01秒。 4、计时器减计时到零时,发出报警信号。 二 、基本原理与电路框图 1. 电路框图 篮球竞赛24秒计时器的电路框图如图2-1所示。 图2-1 电路框图 2. 基本原理 (1) 24秒计时器的总体参考方案框图如图1所示。它包括秒脉冲发生器、 计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路) 等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒 计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 十位显示 秒脉冲触发器 控制电路 计数器 计数器 报警电路 个位显示

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

篮球比赛24s计时器

数字电子技术课程设计任务书院(系):信息工程系

目录 一、设计任务 (1) 1.1总设计要求 (1) 1.2个人设计任务 (1) 二、系统设计方案论述 (1) 三、各模块设计 (1) 3.1震荡分频电路 (1) 3.2 定时电路 (2) 3.2.1 功能描述 (2) 3.2.2 设计思路及实现 (2) 3.2.3 电路仿真 (3) 3.3 显示电路 (3) 3.3.1 功能描述 (3) 3.3.2 设计思路及实现 (4) 四、系统电路设计 (5) 4.1由各功能模块连成的系统电路图 (5) 4.2系统仿真图 (6) 4.3系统下载测试结果 (6) 五、总结与体会 (7)

一、设计任务 1.1总设计要求 设计一个用于篮球比赛的进攻24s计时器,要求如下: 1 计时器可以从24s递减计时,时间间隔0.1s。 2 计时器具有24s显示功能,显示精度为0.1。 3 计时器具有外部控制直接清零、启动、暂停/连续功能。 4 当按下清零键时,计时器显示00.0.当按下启动键时,计时器从24s开始递减计时工作。在计时器工作时,按下暂停/连续键,计时器停止计时,当再按下暂停/连续键时,计数器将继续倒计时工作。 5 当计时器递减计时到零时,计数器发出声光报警信号,同时显示00.0;当按下清零键时,声光报警解除。 1.2个人设计任务 设计并调试24s定时计数功能模块。构建24进制减法计数器,计数器时钟脉冲使用10hz系统脉冲,计数器置数端接入按键fuwei,实现时间预置和计时启动。同时,计数器设置暂停功能,可由外部信号控制计数暂停与开始。计数值接入显示电路进行实时倒数计数显示,当计数置减为零时,计数器计数停止,并发出警报信号,等待置数清零指令。 二、系统设计方案论述 篮球比赛进攻24s计时器主要由震荡分频电路、定时电路、声光报警电路、译码显示电路和控制电路5大部分组成。震荡分频电路是定时脉冲信号;定时电路包括时钟信号发生器、定时计数器和译码显示器;控制电路包括清零、复位、暂停/连续和限定时间到报警灯。 三、各模块设计 3.1震荡分频电路 时钟信号由试验箱提供。给74161 1Khz时钟信号,给计时器10hz时钟信号。

篮球竞赛24秒计时器设计-

数字电子技术课程设计说明书 篮球竞赛24秒计时器设计 系、部:电气与信息工程学院 学生姓名: 指导教师:职称讲师 专业:电气 班级:电气 完成时间: 2012-6-6

前言

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

目录 第1章电路方案的选择及电路框图 (5) 设计方案 (5) 电路框图 (5) 第2章设计思路及其工作原理的介绍 (6) 设计思路 (6) 基本原理 (6) 第3章单元电路的设计 (8) 24进制计数器的设计 (8) 数码显示电路的设计 (9) 秒脉冲的设计 (11) 控制开关电路的设计 (13) 报警电路的设计 (13) 整机工作原理 (14) 第4章电路仿真 (15) 计时预备阶段 (15) 计时阶段 (16) 暂停\连续功能的实现 (17) 电路报警 (18) 第5章安装及调试步骤 (19) 第6章故障分析与电路改进 (20) 故障的分析和解决 (20) 电路的改进 (20) 结束语 (21) 参考文献 (22)

数电课程设计-篮球24s倒计时器学习资料

数电课程设计-篮球24s倒计时器

课程设计报告 课题名称:篮球比赛24秒倒计时电路的设计 (Basketball 24 seconds countdown circuit design)专业: xxxxxxx 班级: xxxxxxx 学号: xxxxxxx 学生姓名: xxxxxxx 指导教师: xxxxxxx x年x月x日

课程设计目的 1、围绕课程设计的内容,培养学生查询相关资料以及文献检索的能力; 2、培养学生对以往所学知识的综合运用能力;在理解透课堂所讲知识的基础上,提高学生 的自学能力; 3、培养学生了解并逐步熟悉科学研究的整个过程,养成良好的科学态度以及实事求是、严谨塌实的工作作风;培养学生独立分析问题和解决问题的科学研究的能力; 课程设计内容 (1)设计要求: ①设计一个篮球比赛24秒计时器,具备显示24秒计时功能; ②计时器为递减工作,时间间隔为1S : ③设置外部开关,控制计时器的启动、暂停及清零; ④递减到零时发出声光报警: ⑵原理方框图图: 包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制

电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。 ⑶实际电路图: ⑷单元电路: ①8421BCD 码加法计数器模块 计数器选用汇总规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。 图1是74LS192外引脚及时序波形图。图中U CP 、D CP 分别是加计数、减 计数的时钟脉冲输入端(上升沿有效)。LD 是异步并行置数控制端(低电平有效), CO 、BO 分别是进位、借位输出端(低电平有效),CR 是异步清零端,D3-D0是并行数据输入殿,Q3-Q0是输出端。

篮球竞赛24秒计时器数电课程设计

《数字电子技术》课程设计说明书课题名称:篮球竞赛24秒计时器设计 专业:电气工程及其自动化 班级:电气1202班 指导老师:胡新晚 姓名:曾瑞琪

计数器概述 篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥这越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。 本设计只要完成:显示24秒倒计时功能:系统设置外部操作开关,控制计时器的直接清零、启动、暂停、连续功能;在直接清零时,数码管显示器灭灯,计时器为24秒递减计时其计时间间隔为1秒,计时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号等。 设计任务及要求 基本要求 (1)显示24秒计时功能。 (2)设置外部操作开关控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器,其计时间隔为1秒。 (4递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

(3)进行电路的装接、调试、直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告 主要参考器件 555 晶体定时器 74 LS00 74LS48译码器 74LS192十进制可编程同步加锁计数器

FPGA定时器24s倒计时.doc

设计一个用于篮球比赛的定时器。要求: (1)定时时间为 24 秒,按递减方式计时,每隔 1 秒,定时器减1; (2)定时器的时间用两位数码管显示; (3)设置两个外部控制开关,开关 K1 控制定时器的直接复位 / 启动计时,开关 K2 控制定时器的暂停 / 连续计时;当定时器递减计时到零(即定时时间到)时,定时器保持零不变,同 时发出报警信号,报警信号用一个发光二极管指示。 (4)输入时钟脉冲的频率为 1kHz。 (5)用 Verilog HDL语言设计,用Modelsim 软件做功能仿真,用Quartus II 综合。(6)将设计代码和仿真代码写在作业本上。 module gcount(out,sel,clock_1k,clear,pause,gcon); input clock_1k,clear,pause; output [6:0] out; output sel,gcon; reg [6:0] out; reg sel,gcon; reg [3:0] cnt_sl,cnt_sh,count; reg wire [9:0] fenpin; clock_1 = fenpin[9]; // 1Hz; always @(posedge clock_1k or negedge clear) begin if (!clear) fenpin <= 10'b0; else fenpin <= fenpin + 1; end //cnt_sl always@(posedge clock_1 or negedge clear) //always@(posedge clock_1 or negedge clear or posedge pause) begin if(!clear) cnt_sl<=4'h4; else if (!pause) cnt_sl<=cnt_sl; else if (cnt_sh == 0 && cnt_sl == 0) cnt_sl<=4'h0; else if (cnt_sl == 0) cnt_sl<=4'h9; else cnt_sl<=cnt_sl-1; end //cnt_sh always@(posedge clock_1 or negedge clear)

相关主题