搜档网
当前位置:搜档网 › 数电课件verilog_intr

数电课件verilog_intr

Introduction to Verilog https://www.sodocs.net/doc/1518251754.html,

Course Objectives

n Learn the basic constructs of Verilog

n Learn the modeling structure of Verilog

n Learn the concept of delays and their effects in simulation

https://www.sodocs.net/doc/1518251754.html,

Course Outline

n Verilog Overview

n Basic Structure of a Verilog Model n Components of a Verilog Module –Ports

–Data Types

–Assigning Values and Numbers

–Operators

–Behavioral Modeling

?Continuous Assignments

?Procedural Blocks

–Structural Modeling

n Summary: Verilog Environment https://www.sodocs.net/doc/1518251754.html,

Verilog

Overview https://www.sodocs.net/doc/1518251754.html,

What is Verilog?

n IEEE industry standard Hardware Description Language(HDL) - used to describe a digital system n For both Simulation & Synthesis

https://www.sodocs.net/doc/1518251754.html,

Verilog History

n Introduced in 1984 by Gateway Design Automation n1989 Cadence purchased Gateway (Verilog-XL simulator)

n1990 Cadence released Verilog to the public

n O pen V erilog I nternational (OVI) was formed to control the language specifications.

n1993 OVI released version 2.0

n1993 IEEE accepted OVI Verilog as a standard, Verilog 1364

https://www.sodocs.net/doc/1518251754.html,

Verilog Structure

n Verilog HDL : Consists of Keywords, syntax and semantics used to describe hardware functionality

and timing.

n PLI : P rogramming L anguage I nterface provides C language routines used to interact between Verilog and EDA tools. (Simulators,Waveform displays) n SDF : S tandard D elay F ormat - a file used to back-annotate accurate timing information to simulators

and other tools.

https://www.sodocs.net/doc/1518251754.html,

Terminology

n HDL - Hardware Description Language is a software programming language that is used to model a piece of hardware

n Behavior Modeling - A component is described by its input/output response

n Structural Modeling - A component is described by interconnecting lower-level components/primitives https://www.sodocs.net/doc/1518251754.html,

https://www.sodocs.net/doc/1518251754.html,

Behavior Modeling

input 1, .., input n

output 1, .., output n

if (input 1)

for (j=0, j<8, j=j+2) #5 output 1 = 1’b0;else

for (j=1, j<8, j=j+2) #5 output 1 = 1’b1;

n Only the functionality of the circuit, no structure n No specific hardware intent

n For the purpose of synthesis, as well as simulation

More Terminology

n Register Transfer Level (RTL) - A type of behavioral modeling, for the purpose of synthesis.

–Hardware is implied or inferred

–Synthesizable

n Synthesis - Translating HDL to a circuit and then optimizing the represented circuit

n RTL Synthesis - The process of translating a RTL model of hardware into an optimized technology

specific gate level implementation

https://www.sodocs.net/doc/1518251754.html,

n Verilog

–“Tell me how your circuit should behave and I will give you the hardware that does the job.”

n VHDL

–Similar to Verilog

n ABEL, PALASM, AHDL

–“Tell me what hardware you want and I will give it to you”https://www.sodocs.net/doc/1518251754.html,

n Verilog

–“Give me a circuit whose output only changes when there is

a low-to-high transition on a particular input. When the

transition happens, make the output equal to the input until

the next transition.”

–Result: Verilog Synthesis provides a positive edge-triggered flipflop

n ABEL, PALASM, AHDL

–“Give me a D-type flipflop.”

–Result: ABEL, PALASM, AHDL synthesis provides a D-type flipflop. The sense of the clock depends on the synthesis

tool.

https://www.sodocs.net/doc/1518251754.html,

Verilog

Modeling https://www.sodocs.net/doc/1518251754.html,

module Module_name (Port_list)

Port declarations (if ports are present)

Parameters (optional)

Data type declarations

Continuous Assignments (assign)

Procedural Blocks (initial and always)

- behavioral statements

Instantiation of lower-level modules

Tasks and Functions

Timing Specifications

endmodule

https://www.sodocs.net/doc/1518251754.html,

电子科技大学期末数字电子技术考试题a卷-参考答案教学内容

电子科技大学二零零九至二零一零学年第 二 学期期 末 考试 数字逻辑设计及应用 课程考试题 A 卷(120分钟)考试形式:闭卷 考试日期2010年7月12日 课程成绩构成:平时 20 分, 期中 20 分, 实验 0 分, 期末 60 分 一、To fill your answers in the blanks (1’×25) 1. If [X]10= - 110, then [X]two's-complement =[ 10010010 ]2, [X]one's-complement =[ 10010001 ]2. (Assumed the number system is 8-bit long) 2. Performing the following number system conversions: A. [10101100]2=[ 000111010010 ]2421 B. [1625]10=[ 0100100101011000 ]excess-3 C. [ 1010011 ]GRAY =[ 10011000 ]8421BCD 3. If ∑=C B A F ,,)6,3,2,1(, then F D ∑=C B A ,,( 1,4,5,6 )=C B A ,,∏(0,2,3,7 ). 4. If the parameters of 74LS-series are defined as follows: V OL max = 0.5 V , V OH min = 2.7 V , V IL max = 0.8 V , V IH min = 2.0 V , then the low-state DC noise margin is 0.3V ,the high-state DC noise margin is 0.7V . 5. Assigning 0 to Low and 1 to High is called positive logic. A CMOS XOR gate in positive logic is called XNOR gate in negative logic. 6. A sequential circuit whose output depends on the state alone is called a Moore machine. 7. To design a "001010" serial sequence generator by shift registers, the shift register should need 4 bit as least. 8. If we use the simplest state assignment method for 130 sates, then we need at least

南京理工大学本科电路笔记dxja8_4

§8-4 理想变压器 一、电路符号: 二、定义式: 时域形式 12 12 1u nu i i n ==- 相量形式 . . 12 . .12 1U nU I I n ==- 注意:参考方向的改变,其对应的定义式也要改变。 例1: .. 12. . 12 ()1U n U I I n =- =- 例2: .. 12. ..12211()()U nU I I I n n ==--= 三、理想变压器必须满足的三个条件: 1、本身无损耗,120R R ==; 2、k =1,全耦合; 3、12,,, L L M n →∞→∞→∞=但 + _ _ + . 1U . 2U . 1. 2I _ + . 1U . 2U . 1I . 2_ + . 1_ + .1U .2U . + _ + _ _ + u 1 u 2 i 1 i 2

四、描述方程和变比n : 图示为铁心变压器的原理示意图,当原副边线圈中均流过电流时,其磁通变化如图所示。 根据条件②: 有Φ12=Φ22,Φ21=Φ11 初、次级线圈的主磁通 Φ=Φ1=Φ2=Φ11+Φ22 使线圈的总磁链 Ψ1=Ψ11+Ψ12=N 1 (Φ11+Φ12)=N 1Φ Ψ2=Ψ21+Ψ22=N 2 (Φ21+Φ22)=N 2Φ 主磁通的变化在初、次级线圈分别产生感应电压u l 和u 2。 由条①:111112222 .....................d u N d d u N u N n dt dt dt u N ψφφ = ====∴ 由条①:. . . . . . 121222222 j 1j j .............j j M I U M I L I I U L L ωωωωω=+=-+ 由条② :M = 由条③ :2L n →∞= ..21I n I =-∴ 五、阻抗变换性质: 1、 从副边变换到原边 . I _ + . 1U . 2U .I .' + _ . I . I . ' I _ + . 1U . 2U + _

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

南京理工大学EDA1实验报告(模电部分)

南京理工大学EDA课程设计(一) 实验报告 专业:自动化 班级: 姓名: 学号: 指导老师: 2013年10月

摘要 在老师的悉心指导下,通过实验学习和训练,我已经掌握基了于Multisim的电路系统设计和仿真方法。在一周的时间内,熟悉了Multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用和掌握常见电路分析方法。能够运用Multisim软件对模拟电路进行设计和性能分析,掌握EDA设计的基本方法和步骤。 实验一:单级放大电路的仿真及设计,设计一个分压偏置的单管电压放大电路,并进行测试与分析,主要测试最大不失真时的静态工作点以及上下限频率。 实验二:负反馈放大电路的设计与仿真,设计一个阻容耦合两级电压放大电路,给电路引入电压串联深度负反馈,,观察负反馈对电路的影响。 实验三:阶梯波发生器的设计与仿真,设计一个能产生周期性阶梯波的电路,对电路进行分段测试和调节,直至输出合适的阶梯波。改变电路元器件参数,观察输出波形的变化,确定影响阶梯波电压范围和周期的元器件。 关键词:EDA设计及仿真multisim 放大电路反馈电路阶梯波发生器

实验一:单级放大电路的仿真及设计 一、实验要求 1、设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(峰值10mV) ,负载电阻5.1kΩ,电压增益大于50。 2、调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。 3、调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度最大。在此状态下测试: (1)电路静态工作点值; (2)三极管的输入、输出特性曲线和 、r be 、r ce值; (3)电路的输入电阻、输出电阻和电压增益; (4)电路的频率响应曲线和f L、f H值。 二、实验步骤 1、设计分压偏置的单级放大电路如图1-1所示: 图1-1、单级放大电路原理图

电子科技大学数字无线电课后习题答案

作业 1:FM 对讲机中接收机的设计 系统参数:射频频率: 433MHz
信号带宽:15KHz (频偏 ?7.5KHz ,音频带宽 3.4KHz ) 调制方式:FM 要求:1. 给出一个可实现的系统结构 2. 设计系统各级的主要参数(如滤波器、振荡器等) 3. 画出各级的频谱结构
答案: 1. 二次变频超外差式接收机系统:
f0 ? 433MHz B ? 20MHz
f IF1
?
49.5MHz
f0 ? 49.5MHz B ? 100KHz
fIF2 ? 450KHz
f0 ? 450KHz B ? 15KHz
fLO1 ? 383.5MHz 或 482.5MHz
fLO2 ? 48.05MHz 或 49.95MHz
2.
15KHz
433
f (MHz)
334 383.5 433 482.5 532 f (MHz)

47.5 48.05 49.5 49.95 50.4 f (MHz)
450
f (KHz)

1.根据下图所示的数字基带接收机电路和 A 点给定的信号频谱, 画出图中 B、C、D、E、F 点的信号频谱。
cos?0t
?
e j?0t
? e? 2
j?0t
A
sin ?0t
?
e
j?0t
? e? 2j
j?0t
cos?ot
LPF
LPF
-sin?ot
zBI(t)
zBI(n)
B
C zBQ(t)
A/D
D
DSP
E
A/D
zBQ(n)
fs=2.5Bs
zB(n)= zBI(n) +j zBQ(n)
F
A点信号频谱:
X(f)
1
Bs
0.5
? f0 ? Bs ? f0
0
f0 f0 ? Bs f (Hz)
答案:
B点信号频谱: 经LPF滤除
?2 f0
ZBI ( f ) 1 0.5
?Bs 0 Bs
经LPF滤除
2 f0 f (Hz)
C点信号频谱:
j ? ZBQ ( f ) 1
0.5
?Bs
0 Bs
f (Hz)

南京理工大学本科电路笔记dxja7_1

第七章 正弦稳态电路分析 §7-1 阻抗和导纳 一.阻抗 1. 定义:在正弦稳态无源二端网络端钮处的电压相量与电流相量之比定义为该二端网络 的阻抗,记为Z , 注意:此时电压相量U g 与电流相量I g 的参考方向向内部关联。 u i U U Z I I ψψ∠= ∠ (复数)阻抗()Ω z j Z R X ψ=∠=+ 其中 ()U Z I = Ω —阻抗Z 的模,即阻抗的值。 Z u i ?ψψ=- —阻抗Z 的阻抗角 z cos ()R Z ?=Ω —阻抗Z 的电阻分量 z sin ()X Z ?=Ω —阻抗Z 的电抗分量 电阻元件的阻抗: 在电压和电流关联参考方向下电阻的伏安关系的相量形式为 R R U R I = 则 R R R U Z R I == 电感元件的阻抗: 在电压和电流关联参考方向下电感的伏安关系的相量形式为 g U U Z I =- g g g R X |Z | Z ? g R U g R I 与R U 共线 阻抗三角形

L L j U L I ω= 则 L L L L j j U Z L X I ω== 电容的阻抗: 在电压和电流关联参考方向下电容的伏安关系的相量形式为 C C C C C j 11j j I C U U I I C C ωωω===- 则 C C C C 1j j U Z X C I ω=-= C 1X C ω=- —容抗 2. 欧姆定律的相量形式 U Z I = j g g 1j - C U g g C

电阻、电感、电容的串联阻抗: 在电压和电流关联参考方向下,电阻、电感、电容的串联,得到等效阻抗eq Z R L C eq R L C 1 L C Z Z I Z I Z I U Z Z Z Z I I R j L R jX jX R jX j C Z ωω?++= = =++=++=++=+=∠ 其中:阻抗Z 的模为 ||Z = 阻抗角分别为 1/L C Z X L C arctg arctg arctg R R R X X ωω?+-===。 可见,电抗X 是角频率ω的函数。 当电抗X >0(ωL >1/ωC )时,阻抗角φZ >0,阻抗Z 呈感性; 当电抗X <0(ωL <1/ωC =时,阻抗角φZ <0,阻抗Z 呈容性; 当电抗X =0(ωL =1/ωC )时,阻抗角φZ =0,阻抗Z 呈阻性。 3. 串联阻抗分压公式: 引入阻抗概念以后,根据上述关系,并与电阻电路的有关公式作对比,不难得知,若一端口正弦稳态电路的各元件为串联的,则其阻抗为 ∑==n k k Z Z 1 串联阻抗分压公式 eq k k Z U U Z = 二.导纳 1.定义:正弦稳态无源二端网络端钮的电流相量与电压相量之比定义为该二端网络的 C g

数字电子技术课程设计指导书_第二版)

数字电子技术课程设计指导书 第二版 物理与光电工程学院 电工电子部 陈元电编著 2008-10-5

一、数字电子技术课程设计的目的与意义 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养工程人员的素质和能力具有十分重要的作用。在电子信息类本科教学中,电子技术课程设计是一个重要的实践环节,它包括选择课题、电子电路设计、组装、调试和编写总结报告等实践内容。通过课程设计要实现以下两个目标:第一,让学生初步掌握电子线路的试验、设计方法。即学生根据设计要求和性能参数,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能指标;第二,课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、数字电子技术课程设计的方法和步骤 设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各部分进行单元的设计、参数计算和器件选择,最后将各部分连接在一起,画出一个符合设计要求的完整系统电路图。 1、设计任务分析 对系统的设计任务进行具体分析,充分了解系统的性能、指标内容及要求,以便明确系统应完成的任务。 2、方案论证 这一步的工作要求是把系统的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。 方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务、要求和条件,完成系统的功能设计。在这个过程中要用于探索,勇于创新,力争做到设计方案合理、可靠、经济、功能齐全、技术先进,并且对方案要不断进行可行性和优缺点的分析,最后设计出一个完整框图。框图必须正确反映系统应完成的任务和各组成部分功能,清楚表示系统的基本组成和相互关系。 3、方案实现 1)单元电路设计 单元电路是整机的一部分,只有把各单元电路设计好才能提高整体设计水平。每个单元电路设计前都需明确本单元电路的任务,详细拟订出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿成熟的先进电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理,各单元电路间也要相互配合,注意各部分的输入信号、输出信号和控制信号的关系。 2)参数计算 为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大电路中各阻值、放大倍数的计算;振荡器中电阻、电容、振荡频率等参数的计算。只有很好地理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。 3)器件选择 阻容元件的选择:电阻和电容种类很多,正确选择电阻和电容是很重要的。不同的 电路对电阻和电容性能要求也不同,有些电路对电容的漏电要求很严,还有些电路对电阻、电容的性能和容量要求很高。例如滤波电路中常用大容量铝电解电容,为滤掉高频通常还需并联小容量瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件,并要注意功耗、容量、

大规模数字成电路习题解答

自我检测题 1.在存储器结构中,什么是“字”?什么是“字长”,如何表示存储器的容量? 解:采用同一个地址存放的一组二进制数,称为字。字的位数称为字长。习惯上用总的位数来表示存储器的容量,一个具有n字、每字m位的存储器,其容量一般可表示为n ×m位。 2.试述RAM和ROM的区别。 解:RAM称为随机存储器,在工作中既允许随时从指定单元内读出信息,也可以随时将信息写入指定单元,最大的优点是读写方便。但是掉电后数据丢失。 ROM在正常工作状态下只能从中读取数据,不能快速、随时地修改或重新写入数据,内部信息通常在制造过程或使用前写入, 3.试述SRAM和DRAM的区别。 解:SRAM通常采用锁存器构成存储单元,利用锁存器的双稳态结构,数据一旦被写入就能够稳定地保持下去。动态存储器则是以电容为存储单元,利用对电容器的充放电来存储信息,例如电容器含有电荷表示状态1,无电荷表示状态0。根据DRAM的机理,电容内部的电荷需要维持在一定的水平才能保证内部信息的正确性。因此,DRAM在使用时需要定时地进行信息刷新,不允许由于电容漏电导致数据信息逐渐减弱或消失。 4.与SRAM相比,闪烁存储器有何主要优点? 解:容量大,掉电后数据不会丢失。 5.用ROM实现两个4位二进制数相乘,试问:该ROM需要有多少根地址线?多少根数据线?其存储容量为多少? 解:8根地址线,8根数据线。其容量为256×8。 6.简答以下问题: (1)CPLD和FPGA有什么不同? FPGA可以达到比CPLD更高的集成度,同时也具有更复杂的布线结构和逻辑实现。FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而积项丰富的结构。 在编程上FPGA比CPLD具有更大的灵活性;CPLD功耗要比FPGA大;且集成度越高越明显;CPLD比FPGA有较高的速度和较大的时间可预测性,产品可以给出引脚到引脚的最大延迟时间。CPLD的编程工艺采用E2 CPLD的编程工艺,无需外部存储器芯片,使用简单,保密性好。而基于SRAM编程的FPGA,其编程信息需存放在外部存储器上,需外部存储器芯片,且使用方法复杂,保密性差。 (2)写出三家CPLD/FPGA生产商名字。 Altera,lattice,xilinx,actel 7.真值表如表T5.7所示,如从存储器的角度去理解,AB应看为地址,F0F1F2F3应看为数据。 表T5.7

数电课程设计

数电课程设计

目录 一、课程设计的目的与作用...................................................... 错误!未定义书签。 二、设计任务 (2) 2.1、加法计数器 (2) 2.2、串行序列发生器 (2) 2.3、四十进制加法计数器 (2) 三、设计过程 (2) 3.1、加法计数器 (2) 3.1.1、状态转换图 (2) 3.1.2、次态卡诺图: (3) 3.1.3、Q2n+1的卡诺图 (3) 3.1.4、Q1n+1的卡诺图 (3) 3.1.5、Q0n+1的卡诺图 (4) 3.2、串行序列发生器 (4) 3.2.1、Y的卡诺图 (5) 3.2.2、Q2n+1的卡诺图 (5) 3.2.3、Q1n+1的卡诺图 (5) 3.2.4、Q0n+1的卡诺图 (6) 3.3、四十进制加法计数器 (6) 3.3.1、设计过程 (6) 四、仿真结果分析 (7) 4.1、六进制加法器仿真结果分析 (7) 4.2串行序列仿真分析 (8) 4.3、13进制计数器仿真分析 (9) 五、设计体会 (9) 六、参考文献 (10)

一、课程设计的目的与作用 数字电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养工程人员的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括课题的分析、电路设计、仿真、调试以及总结报告等实践内容。 通过课程设计主要实现以下目标:1、掌握电子电路分析和设计的基本方法。包括:根据设计任务和指标初选电路;调查研究和设计计算确定电路方案;选择元件、设计电路、仿真改进;分析实验结果、写出设计总结报告。 2、培养一定的自学能力、独立分析问题的能力和解决问题的能力。包括:学会自己分析解决问题的方;对设计中遇到的问题,能通过独立思考、查询工具书和参考文献来寻找解决方案,掌握电路测试的一般规律;能通过观察、判断、实验、再判断的基本方法解决实验中出现的一般故障;能对实验结果独立地进行分析,进而做出恰当的评价。 3、掌握普通电子电路的设计、仿真及接线等基本技能。 4、巩固常用电子仪器的正确使用方法,掌握常用电子器件的测试技能。 5、通过严格的科学训练和设计实践,逐步树立严肃认真、一丝不苟、实事求是的科学作风,并逐步建立正确的生产观、经济观和全局观。

南理工电子电工实验2(DOC)

电子电工综合实验(II) 实验报告 ——数字计时器设计 班级:9121042201 学号: 912104220132 姓名:董跃 目录 一、实验目的 (3)

二、实验要求 (3) 三、实验内容 (3) 四、实验器件 (3) 五、元器件引脚图及功能表 (4) 六、实验原理 (10) 1.秒脉冲发生电路 (11) 2.计时器电路 (11) 3.译码显示电路 (12) 4.报时电路 (13) 5.校分电路 (14) 6.清零电路 (15) 七、逻辑图 (16) 八、引脚接线图 (16) 九、实验总结 (16) 参考文献 (17) 一、实验目的 1.掌握常见集成电路的工作原理和使用方法。 2.学会单元电路的设计方法和单元间设计组合。

二、实验要求 实现从00′00″到59′59″的多功能数字计时器,并且满足规定的清零,快速校分以及报时功能的要求。 三、实验内容 1.设计、安装、调试脉冲发生电路。 2.设计、安装、调试59′59″计时器电路。 3.设计、安装、调试译码显示电路。 4.设计、安装、调试任意状态清零电路。 5.设计、安装、调试快速校分电路。 6.设计、安装、调试整点报时电路(59′53″、59′55″、59′57″时发出频率为500Hz的低声;59′59″时发出频率为1KHz的高声)。 7.设计1-5项联接构成数字计时器电路 四、实验器件 1、集成电路: NE555 1片(多谐振荡) CD4040 1片(分频) CD4518 2片(8421BCD码十进制计数器) CD4511 4片(译码器) 74LS00 3片(与非门) 74LS20 1片(4输入与非门) 74LS21 2片(4输入与门) 74LS74 1片(D触发器) 2、电阻: 1KΩ1只 3KΩ1只 330Ω(300Ω)28只 3、电容: 0.047uf 1只

数电课程设计方案(测一)

数字电子技术课程设计报告设计课题:电子秒表设计 专业班级:测控2014级一班 指导教师:谭荆 设计时间:2016年6月3日

1.1设计目的: 1.1.1巩固、深化和扩展理论知识与初步的专业技能。 1.1.2熟悉555方波振荡器的应用。 1.1.3熟悉计数器的级联及计数、译码、显示电路的整体配合。 1.1.4建立分频的基本概念。 1.2设计任务内容: 1.2.1完成数字电子秒表的设计,绘出电路原理图。 1.2.2搭接电子秒表整体试验电路。 1.2.3调教0.1秒信号源。 1.2.4测试电子秒表清零、开始计时、停止计时功能。 1.3设计要求 1.3.1系统的时钟由555定时器构成的多谐振荡器产生 1.3.2计时器为9.9S递增计时器,其记时间隔为0.1S。 1.3.3具有显示0.0S~9.9S的记时功能。 1.3.4设置外部操作开关,控制计时器的启动,暂停和继续功能。

2. 数字电子秒表设计 2.1仪器设备:直流稳压电源一台,示波器一台,逻辑笔一支,万用表一块; 定时器555一块,二-五-十制计数器74LS90三块,74LS47两块,数码管两只,1k Ω电阻两只,100k Ω电阻一只,100k Ω电位器一只,电容器0.1μF 、0.01μF 、0.022μF 2.2电子秒表的基本组成和工作原理: 2.2.1电子秒表电路的基本组成框图如图 1 所示,它主要由多谐振荡器、计数器、译码器和数码显示器4个部分组成。 图1 电子秒表电路的基本组成(方框图) 2.2.2电子秒表设计电路图如图2所示,图中由定时器555构成方波振荡器,用来产生50Hz 的矩形波。第Ⅰ块计数器作5分频使用,将555输来的50Hz 的脉冲变为0.1秒的计数脉冲加给计数器。第Ⅱ、第Ⅲ块计数器 Q 0与CP 2相连,脉冲从CP 1输入,都已接成十进制计数电路,其中第Ⅱ块是每0.1秒进位,第Ⅲ块是每秒进位。两片74LS47是译码器,将计数器输来的 8421BCD

模拟与数字电子技术课程设计指导书

《模拟与数字电子技术课程设计》(指导书) 课程名称:模拟与数字电子技术课程设计/ A Course Design on Analogous Electronic Technology and Digital Electronic Technology 周数/学分:2/2.0 先修课程:电路原理、模拟电子技术、数字电子技术 适应专业:电子信息工程、电气工程及其自动化类专业 一、课程设计的目的 通过课程设计,使学生加强对电子技术电路的理解,学会查寻资料、方案比较,以及设计计算及制作调试等环节,进一步提高分析解决实际问题的能力。 对本次课程设计,原则上指导老师只给出大致的设计要求,在设计思路上不框定和约束同学们的思维,所以同学们可以发挥自己的创造性,有所发挥,并力求设计方案凝练可行、思路独特、效果良好。 二、课程设计的内容和要求 在学习模拟电子技术和数字电子技术理论后进行一次电子设计与制作,锻炼学生分析、解决电子电路问题的实际本领。要求学生根据技术指标进行理论设计,并制作调试完成。课程设计题目由指导教师提供,一般2-3人一组。参考题目如下: 1.多路输出直流稳压电源的设计与制作 要求设计制作一个多路输出直流稳压电源,可将220V/50HZ交流电转换为多路直流稳压输出:+12V/1A,-12V/1A,+5V/1A,-5V/1A及一组可调正电压。 2.高保真音频功率放大器的设计与制作 要求设计制作一个高保真音频功率放大器,输出功率10W/8Ω,频率响应20~20KHZ,效率>60﹪,失真小。 3.函数发生器的设计与制作 要求设计制作一个方波-三角波-正弦波发生器,频率范围10~100Hz,100Hz~1KHz,1 KHz~10 KHz;正弦波Upp≈3v,三角波Upp≈5v,方波Up p≈14v,幅度连续可调,线性失真小。 4.有源滤波器 设计一个低通或高通或带通的有源滤波器。并后接一个由TDA2030构成的后级功率放大单元。最后利用随身听作信号源,利用实验箱自带扬声器,进行功能验证,根据扬声器的声音是尖锐的还是低沉的低音,来判断滤波器的效果。也可以利用示波器测出其分频点。 5.模电自选题目 在老师指导下,自选题目完成课程设计。 6.多功能数字钟电路的设计与制作

数电课程设计报告新编

数电课程设计报告新编 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

《基于FPGA的洗衣机电机正反转控制器》学院:信息与控制工程学院 专业:电子信息工程 班级: 姓名: 学号: 2014年7月

目录 1.设计任务与要求 (1) 2.设计思路 (1) 3.设计原理及方案 (2) 4.总结与讨论 (14)

一、设计任务及要求: 1.控制洗衣机的电机作如下周期性运转,正转4S――暂停2S――反转4S――暂停2S,用8位七段数码管显示自己学号的后四位(显示在从左边数第一个到第四个数码管上)、定时时间(两位,单位:分钟,显示在第五个和第六个数码管上),剩余时间(两位,单位:分钟,显示在第七个和第八个数码管上 2.洗衣机控制器的工作过程为: (1)上电后显示自己学号的后四位,在运行中不变;初始洗涤时间为10分钟,在开始前可用S1和S2按键设置总的工作时间,确定洗衣机控制器定时工作时间。(按下并松开S1定时时间增加一分钟,按下并松开S2定时时间减少一分钟,时间范围为:00~30分钟) (2)设定好定时时间后,按下并松开S3(按下时S3=0,松开时S3=1),启动控制器,整个系统开始运行;再次按下并松开S3,停止运行;再次按下并松开S3继续运行;按下并松开S4则回到上电初始状态。其他两个按键不起作用。到达定时时间后,停止运行,按下并松开S4则回到初始状态,在运行中要显示定时时间和剩余工作时间,当剩余时间为0时,要显示“End”。在工作过程中用三个LED指示灯指示电机工作状态,正转D1灯亮,反转D2灯亮,暂停D3灯亮, 如此反复直至工作时间为0停止(三个LED灯都不亮)。 系统总体框图如下: 二、设计思路 为了便于计时,首先把1000Hz的外部时钟分频为1Hz。正转时间设为4s,反转设为4s,暂停设为2s,令洗衣机按照正转4s、暂停2s、反转4s、暂停2s的顺序进行旋转,周期恰好为10秒,而定时时间单位为分钟,因此需要设计分钟计数器和秒计数器。开发板提供的时钟信号CP频率为1000Hz,应该设计一分频器得到1Hz的时钟信号作为时间计数脉冲。

数电课程设计__

数电实验报告 系别:电子信息工程 班级: 姓名:

课程设计(论文)题目: 交通灯的设计、制作 一、课程设计(论文)要求及原始数据(资料): 1)每个方向有两对灯,分别为红、绿。 2)每个方向的绿灯、红灯的定时时间可以预设,一个方向绿灯亮时另一个方向红灯亮。定时时间用数码管显示,红绿灯指示用发光二极管。 3)绿灯、红灯顺序点亮,循环往复。 4)控制器要自带时钟,为了时钟精度和得到占空比为50%的标准1 Hz时钟,最后的时钟通过分频得到。时钟脉冲源利用555电路产生。计数器使用CD4511,74168,74390。 二、主要参考文献(资料): [1] 董儒胥,《电工电子实训》,北京:高等教育出版社,2002年 [2] 谢克明,《电子电路EDA》,北京:兵器工业出版社,2001年 [3] 阎石,《数字电子技术基础》北京:高等教育出版社,2006年 [4] 陈明义主编《电子技术课程设计指导》中南大学出版社,2002年

一、设计题目及内容 交通信号灯控制器 (1)、用红、绿、黄三色发光二极管作信号灯。 (2)、南北、东西方向绿、黄、红灯亮时间分别为20秒、4秒、24秒,一次循环为48秒。黄灯是间歇闪耀。设计计时显示电路(减“1”计数) 。 (3)、可以手动调整和自动控制,夜间为黄灯闪耀。 二、器件与器材 1、二输入四与非门74LS00 2、四输入双与非门74LS20 3、六倒相器74LS04 4、八输入与非门74LS30 5、正沿双D触发器74LS74 6、同步十进制可逆加、减计数器(8421 BCD码) 74LS168 7、振荡分频器CD4060 8、BCD七段显示译码器CD 4511 9、555定时器555 10、LED共阴七段数码管BS207 11、微动开关、拨盘开关、继电器、LED(红、绿、蓝)、电阻、电容、二极管、三极管、光敏二、 三极管、导线……等。 12、工具(镊子、剪刀、万用表、电烙铁……) 三、使用仪器设备 1、稳压电源(±5V,±15V); 四、参考文献 1、“模拟电子技术基础”和“数字电子技术基础”教材; 2、有关“电子技术课程设计指导书”; 3、“集成电路特性应用手册”; 2、EDA技术使用教程 3、其他。 五、设计总结报告主要内容 1、任务及要求; 2、方案特点; 3、各组成部分及工作原理(应结合框图写); 4、单元电路设计与调试;

数字集成电路知识点整理

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计)制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个 模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore ))与设计复用Foundry (代工)、Fabless (芯片设计)、Chipless (IP设计)"三足鼎 立” 一-oC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦之类的) NRE (Non-Recurrent Engineering)成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing ),封装(packaging ),测试(test) 正比于产量 每个集成电路的成本-kceurrenr成本+ 一、此上成木 总产量 管芯成本十芯片濯试成本+封装成本 Recurrent Jjfc 本----------- ----------------------- 最终测试成品率| 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 C上的电压从上升到50%的时间 &二In (2)r = 0.69 功耗:emmmm 自己算 4、EDA设计流程 IP设计-------- >系统设计(SystemC ) ----- > 模块设计(verilog ) 版图设计(.ICC --------- 电路级设计(.v基本不可读)综合过程中用到的文件类型(都是synopsys): 可以相互转化 .db (不可读)< ----------- > .lib (可读) 加了功耗信息

数字电路与逻辑设计课程设计指导书2014

数字逻辑设计 课程设计指导书 适用专业:计算机大类 湖北工业大学 计算机学院 2015年11月 目录 一、课程设计目的1

二、课程设计要求1 三、课程设计内容1 四、设计报告的内容和要求3 五、课程设计考核方法3 附录一自选课题参考题目4 一、数码管显示控制器4 二、乒乓球游戏机4 三、智力竞赛抢答器4 四、数字钟4 五、交通灯控制器5 六、双钮电子锁5 七、彩灯控制器5 八、速度表5 九、出租车计价器6 十、自动奏乐器一6 十一、自动奏乐器二6 十二、自动打铃器6 十三、算术运算单元ALU的设计7 十四、游戏机7 十五、16路数显报警器7 十六、脉冲按键电话按键显示器7 十七、病房呼叫系统8 十八、自动电子钟8 十九、具有数字显示的洗衣机时控电路8 二十、篮球比赛数字计分牌8 二十一、电子日历9 二十二、设计模拟中央人民广播电台报时电路9二十三、数字跑表9 二十四、汽车尾灯控制器9 二十五、篮球竞赛30秒计时器9 二十六、拔河游戏机控制器10 附录二TTL集成电路型号命名规则10 附录三部分TTL集成电路管脚排列图13

一、课程设计目的 《数字逻辑课程设计》是计算机大类学生的必修课之一,是《数字逻辑》课程的一个重要的实践教学环节,它与理论教学和实验教学相结合,培养学生综合运用所学的基础理论和掌握的基本技能来解决实际问题的能力。 课程设计通过完成一个课题的理论设计和实际调试工作,即能加深对所学知识的理解,又能培养综合的实践技能,从而提高分析问题和解决问题的能力。训练学生综合运用学过的数字逻辑的基本知识,独立设计比较复杂的数字电路的能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 二、课程设计要求 (一)教学要求 1.巩固和加深对数字逻辑各类型电路的设计方法及电子器件所构成电路的理解,并适当拓宽学生在电子线路领域的知识面。 2.初步掌握数字电路的设计、计算方法。能根据系统的技术指标,论证、拟订设计方案;选用合适的电路形式并进行工程计算及选择电路的元器件。 3.培养独立组织实验方案、正确选择使用实验仪器的能力,提高对功能电路和系统的安装调整、测试技术,以及综合运用所学理论知识解决实际问题的能力。(二)能力培养要求 1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 2.通过实际电路方案的比较分析、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 4.综合应用课程中学到的理论知识去独立完成一个设计任务。 5.培养严肃认真的工作作风和严谨的科学态度。 三、课程设计内容 从参考题目中自选1题进行资料查找和设计,具体课题及要求见附件1。 1.数码管显示控制器

电子科技大学数字电路期末考试样题

电子科技大学数字电路期 末考试样题 Last revision on 21 December 2020

一、填空题 1.五个变量构成的所有最小项之和等于 ( )。 2.已知某数的二进制原码表示为 ( 110110) 2 , 则其对应的8-bit 补码表示为 ( )2。 3.已知∑=C B A F ,,)3,0(,则∑='C B A F ,,( )。 4.要使D 触发器按'*Q Q =工作,则D 触发器的输入D=( )。 5.用移位寄存器产生1101010序列,至少需要( )位的移位寄存器。 二、单项选择题: 1. 若要将一异或门当作反相器(非门)使用,则输入端A 、B 端的连接方式是( )。 A. A 或B 中有一个接“0” B. A 或B 中有一个接“1” C. A 和B 并联使用 D. 不能实现 2.组合电路的竞争冒险是由于( )引起的。 A. 电路不是最简 B. 电路有多个输出 C. 电路中使用不同的门电路 D. 电路中存在延时 3.某一逻辑函数真值表确定后,下面描述该函数逻辑功能的表达式中,具有唯一性的是( )。 A .该逻辑函数的最简与或式 B .该逻辑函数的积之和标准型 C .该逻辑函数的最简或与式 D .该逻辑函数的和之积式 4.若最简状态转换表中,状态数为n ,则所需状态变量数K 为 ( )的整数.

00 00 01 01 10 1011 11图 A .n K 2log = B .n K 2log < C . n K 2log ≥ D . n K 2log ≤ 5.某计数器的状态转换图如图1所示,其该计数器的模为( )。 A . 八 B. 五 C. 四 D. 三 三、 组合电路分析: 1.求逻辑函数 Z Y X Y X Z X F ?'?+?+?'= 的最简积之和表达式。 2.已知逻辑函数∑=Z Y X F ,,)7,5,1(, 请写出该函数的标准和(最小项之和)表达式: 3.找出逻辑表达式X W Y W F ?+'?'=对应的电路的所有静态冒险。 四、组合电路设计: 1、试用一片三输入八输出译码器74X138和适当的与非门实现函数: 画出电路连接图。译码器如右图所示。 2、一个多路复用器,具有4个2位输入总线P 、Q 、R 、T ,3个选择输入端S2~S0根据表1选定4个输入总线中的一个来驱动2位输出总线Y 。如图2所示,可以使用一片74x153(四选一多路复用器)和一个码转换器实现该功能,试写出图2中“码转换器”对应的真值表和逻辑表达式。 1(21)C S S '=?,0(10)C S S '=? 五、 时钟同步状态机设计: 表1 S 2 S 1 S 0 选择的输入 0 0 0 P 0 0 1 P 0 1 0 P 0 1 1 Q 1 0 0 P 1 0 1 P 1 1 0 R 码

数电课程设计指导书

《数字电子技术》课程设计 一、设计报告(说明书)要求 1.设计题目名称 2.设计任务、要求及技术指标(自拟) 3.原理电路的设计 (1) 简单介绍系统设计思路与总体方案的选择(考虑过哪些方案),各功能块的划分与组成,画出方框图,全面介绍总体工作过程或工作原理。 (2) 单元电路(子系统)及控制电路的设计原理和元器件的选择,并画出相应的逻辑图。 (3) 画出完整的电路图和必要的波形图,并说明主要工作原理。 在描述电路工作原理时,应尽量采用真值表、卡诺图、逻辑表达式、状态表、状态图来描述该单元电路的逻辑功能。若选用集成芯片,应列出该芯片的“功能表”。 计算出各元器件的主要参数,并标在电路图中恰当的位置。在设计报告和图纸上都要列出元器件明细表(元器件的参数应在计算后选择标称值;电阻应标出功率;电容应标出耐压值)。 4.电路的安装(仿真)与调试 介绍电路安装(仿真)调试过程中所遇到的主要技术问题,给出现象记录、原因分析、解决措施及效果,详细介绍电路的性能指标或功能的测试方法、步骤、使用的仪器仪表、记录的图表和数据 5.有哪些收获、体会和建议。 6.附录 设计图纸一张(设计图应为电路原理图);仿真电路接线图一张(打印);本设计所用全部元器件明细表。 二、设计环节(自己作好时间安排) 1. 分析设计要求,选定设计方案。 2.手工画电路方框图和总图的草图。 3.在计算机上用 Multisim 10 仿真软件仿真连线,利用软件提供的虚拟仪器、仪表分析、测试系统功能。仿真成功后交给老师验收,交仿真电路图的电子文档一份,并打印一张仿真电路图(实际上是接线图),附于设计报告中。

4.画出电路原理图,计算元器件参数。 5.撰写设计报告(说明书)。(自留底稿,本次设计报告留学校保存) 到实验楼(育英楼)3117实验室上机做设计及仿真实验。在上机之外的其它时间,要抓紧时间画图,写设计报告,尤其是写电路的工作原理,花费的时间较多。 三、参考资料及书籍 《数字电子技术实训教程》《电子技术课程设计指导书》 《中国集成电路大全TTL集成电路》《中国集成电路大全CMOS集成电路》《TTL 、高速CMOS手册》《电子电路大全(数字电路)》 各类电子小制作书籍电子类杂志各种电子报 四、验收 1.讲述设计电路(单元电路、总电路)的工作原理,如何实现设计题目要求的功能。 2.仿真运行,检验是否具有设计要求的逻辑功能。 3.硬件电路设计与功能演示。 五、成绩评定 1.设计过程25﹪ 2.验收情况(电路功能、提问等)25﹪ 3.设计报告质量40﹪ 4.考勤10﹪

相关主题