搜档网
当前位置:搜档网 › 简易数字钟

简易数字钟

简易数字钟
简易数字钟

课程设计说明书(2012 /2013学年第二学期)

课程名称:单片机应用

题目:简易数字钟

专业班级:自动化1023

学生姓名:周艳强

学号:100412330

指导教师:韩昱苗敬利等

设计周数:2周

设计成绩:

2013年6 月26 日

目录

第一章课程设计目的***********************************3 第二章课程设计任务***********************************3 2.1设计题目***************************************3

2.2原始数据及主要任务******************************3

2.3技术要求***************************************3 第三章课程设计内容***********************************3

3.1方案设计***************************************4

3.2 CD4511芯片功能和使用方法************************4

3.3 MCS-51单片机定时器/计数器介*******************5

3.4 综合电路设计***********************************6 3.5单元电路设计*************************************7

3.5.1键盘单元*************************************7

3.5.2数码管显示***********************************8

3.5.3时钟产生单元**********************************8

3.5.4蜂鸣器电路单元********************************8 第四章原理和仿真************************************9

3.6 原理图和仿真图*********************************9

3.7系统调试**************************************10

3.8 实习心得体会**********************************11

参考文献*****************************************13 附录(c语言程序)*******************************14

一、课程设计目的:

1.1综合利用所学单片机知识完成一个单片机应用系统设计并在实验室实现,从而加深对单片机软硬知识的理解,获得初步的应用经验。

1.2学习AT89C51定时/计数器的原理及基本应用,掌握多位数码管动态显示方法以及芯片CD4511等的特点。

1.3掌握Keil uVision2 的使用方法和PROTEUS软件使用过程。

二、课程设计任务

2.1设计题目:简易数字钟设计

2.2原始数据及任务:采用AT89S51作为控制单元,实现简易数字钟设计。1、确定总体设计方案;2、设计键盘输入电路;3、设计显示电路;4、合理分配地址,编写系统程序;5、利用Protel设计硬件电路原理图和PCB图;6、软硬件联机调试。

2.3技术要求

设计一台以MCS-51为核心的简易数字钟。四位数码管显示小时和分钟,中间的那个点来区分小时和分钟;每秒用LED闪烁一下;用了4个按键,一个是选择键,一个是加键,一个确认键。时间要精确,整点报时,声音间隔为一秒,并且可以调整时间调整位闪烁提示。

三、课程设计内容

3.1:方案设计过程如下

(1)根据题目要求利用protel设计原理图,请老师检查是否有错误。

(2)利用仿真软件protus铺设电路,进行合理安排布局。

(3)学习keil编程软件,按照功能要求进行编程。

(4)在确认无误后,将程序加载到protus进行仿真。

(5)根据原理图进行硬件焊接电路板和检测。

单元器件选择主要器件如下:

STC90C52AD+单片机(DIP40);4位共阴数码显示管,4个

470欧电阻,1个; 300欧电阻,7个; NPN三极管4个

1k欧电阻,4个;晶振:12MHz,1个;

10K电阻,4个;按键开关:4个;

LED二极管1个;蜂鸣器1个; PNP三极管1个。

3.2CD4511简介说明和使用方法

简介:CD4511 是一片 CMOS BCD—锁存/7 段译码/驱动器,用于驱动共阴极 LED (数码管)显示器的 BCD 码—七段码译码器。具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动共阴LED数码管。

使用方法:其中a b c d 为 BCD 码输入,a为最低位。LT为灯测试端,加高电平时,显示器正常显示,加低电平时,显示器一直显示数码“8”,各笔段都被点亮,以检查显示器是否有故障。BI为消隐功能端,低电平时使所有笔段均消隐,正常显示时, B1端应加高电平。另外 CD4511有拒绝伪码的特点,当输入数据越过十进制数9(1001)时,显示字形也自行消隐。LE是锁存控制端,高电平时锁存,低电平时传输数据。a~g是 7 段输出,可驱动共阴LED数码管。另外,CD4511显示数“6”时,a段消隐;显示数“9”时,d 段消隐,所以显示6、9 这两个数时,字形不太美观,若要多位计数,只需将计数器级联,每级输出接一只 CD4511 和 LED 数码管即可。所谓共阴 LED 数码管是指 7 段 LED 的阴极是连在一起的,在应用中应接地。限流电阻要根据电源电压来选取,电源电压5V时可使用300Ω的限流电阻。其真值表如下图所示:

3.3 MCS-51单片机内部定时器/计数器中断系统简介

用定时/计数器T0,工作于定时,采用方式1,对6MHZ的系统时钟进行定时计数,形成定时时间50ms。计1200次分计数器自增1,时计数器加到24则时计数器清0。然后把分、时计数器分成十位和个位放到4个数码管的显示缓冲区,通过数码管显示出来。在处理过程中加上了按键判断程序,能对按键处理。

3.4综合电路设计

本系统共有两部分构成,即硬件部分与软件部分。其中硬件部分由电源输入部分、键盘输入部分、复位部分、晶振部分、显示部分、报警部分部分组成,软件部分对应的由主程序、初始化程序、LED显示程序、键盘扫描程序、启动程序、关闭程序、按键功能程序、EEPROM读写程序和延时程序等组成。其原理框图如下图

3.4.1键盘单元

键盘设计如下图所示(P0口需要通过上拉电阻接到+5V电源,这点需注意,上拉电阻接法如下)

其中12个键的功能分配如下

S1: 选择键 S2:加键 S3:减键 S4:确认键

采用扫描控制端口P0的值来确定有没有键按下,按下的是那个键。

3.4.2数码管显示

我们采用共阴数码管显示共4个,段选端接P2口,位选段接P1口,具体接法如下:

数码管位选端由P1口高四位的4个端口控制,分别通过NPN接到数码管的公共端,其接法如上图:

数码管显示是单片机中应用最为广泛的一种显示方式之一,

共阴极数码管0到9十个数的代码如下:

{0x3f,0x06,0x5b,0x4f,0x66

,0x6d,0x7d,0x07,0x7f,0x6f};

3.4.3时钟产生单元

51单片机的时钟信号通常用两种电路形式得到:内部振荡方式和外部振荡方式。

在引脚XTAL1和XTAL2外接晶体振荡器(简称晶振)或陶瓷谐振器,就构成了内部振荡方式。由于单片机内部有一个高增益反相放大器,当外接晶振后,就构成了自激振荡器并产生振荡时钟脉冲。内部振荡方式的外部电路如下图所示。

图中,电容器C起稳定振荡频率、快速起振的作用,其电容值

一般在5-30pF。晶振频率的典型值为12MH2,采用6MHz的情况也比较多。内部振荡方式所得的时钟情号比较稳定,实用电路中使用较多。外部振荡方式是把外部已有的时钟信号引入单片机内。这种方式适用来使单片机的时钟与外部信号保持同步。

3.4.4 蜂鸣器电路单元:如图下所示

第四章原理和仿真

3.5 protel系统原理图如下:

Protus仿真图如下:

3.6系统调试

硬件调试时可先检查面包板及焊接的质量情况,在检查无误后可通电检查LED显示器的点亮状况。若亮度不理想,可以调整P3口的电阻大小,一般情况下取200Ω电阻即可获得满意的亮度效果。

硬件调试:硬件调试是利用基本测试仪器(万用表等),检查用户系统硬件中存在的故障。硬件调试可分为静态调试与动态调试两步进行。

静态调试:是在用户系统未工作时的一种硬件检测。

第一步:目测。检查外部的各种元件或者是电路是否有断点。

第二步:用万用表测试。先用万用表复核目测中有疑问的连接点,再检测各种电源线与地线之间是否有短路现象。

第三步:加电检测。给板加电,检测所有插座或是器件的电源端是否符合要求的值

第四步:联机检查。因为只有用单片机开发系统才能完成对用户系统的调试。

动态调试:是在用户系统工作的情况下发现和排除用户系统硬件中存在的器件内部故障、器件连接逻辑错误等的一种硬件检查。动态调试的一般方法是由近及远、由分到合。由分到合是指首先按逻辑功能将用户系统硬件电路分为若干块,当调试电路时,与该元件无关的器件全部从用户系统中去掉,这样可以将故障范围限定在某个局部的电路上。当各块电路无故障后,将各电路逐块加入系统中,在对各块电路功能及各电路间可能存在的相互联系进行调试。由分到合的调试既告完成。由近及远是将信号流经的各器件按照距离单片机的逻辑距离进行由近及远的分层,然后分层调试。调试时,仍采用去掉无关元件的方法,逐层调试下去,就会定位故障元件了。

软件调试:软件调试是通过对程序的汇编、连接、执行来发现程序中存在的语法错误与逻辑错误并加以排除纠正的过程。

可以利用仿真器加以调试,对系统单个单元进行调试,当单元电路成功后,在对整个程序调试,最后在用CPU芯片调试。

通过软硬结合的方式对电路板进行硬件调试,硬件没错误之后,开始进行软件编程,直到整个功能实现,并进行优化。

3.7 课程设计心得体会和收获:

我经过这两个星期单片机课程设计的学习,我们组从画原理图。到的protus 仿真图修改,再到程序的编写和电路板的焊接都主要是我自己解决的,,错了向老师和同学们请教然后再次去修改。在这个过程中我不仅加深了对单片机理论的理解,懂得了更多理论的知识,而且我还学会了将理论知识更好的运用到实际当中去。课程设计是对我们能力的训练,随着科学技术的不断发展,单片机已运用广泛。在生活当中可谓是无所不在,小到遥控器、手机,大到电脑等家用电器,可以说跟我们的生活息息相关。因此作为二十一世纪的大学生来说掌握单片机的开发及时是十分重要的。

回想两个星期的课程设计,真的是感慨颇多,从刚刚开始的容易上手到后面的摸不着方向,通过查询资料请教老师解决了所有的问题。通过这次的课程设计,让我懂得了理论与实际的结合时非常重要的,只有结合起来才能得出想要的结论,从理论当中得出结论,从而提高自己的实际动手能力和独立思考能力。在设计的过程中发现自己的不足之处,对以前所学的知识理解的不够深刻,掌握的不

够不够牢固,比如对单片机的汇编语言不能理解,不懂得一些元件的运用等等。课程设计结束后,一定要把自己以前没学有车的重新温过一次。在设计中遇到的许多的问题,都在老师的帮助下和同学的指导下完成了,让我体会到了团队合作的重要性,同时也感谢同学和老师对我的指导。

参考文献

[1]《电力电子技术基础》(第五版)康华光主编高等教育出版社。

[2]《单片机原理及应用》张毅刚主编高等教育出版社。

[3]《电路设计与制版Protel 99入门与提高》老虎工作室编制。

[4]《PROTEUS入门实用教程》第2版周润景蔡雨恬编著机械工业出版。

[5]《单片机10天征服你》郭天祥哈尔滨工程大学录制。

学生:周艳强

专业班级:10级自动化1023

学号:100412330

2013年6月28日星期五

附录: C语言实验程序如下

#include

#define uchar unsigned char

#define uint unsigned int

uchar code table[]={0x10,0x20,0x30,0x40,0x50,0x60,0x70,0x80,0x90}; uchar t0,t1,min,hour,function,a0,a1,a2,a3;

bit shan;

void delay(uint count) ;

uchar keychuli();

uchar key();

void display(uchar,uchar,uchar,uchar);

void send();

void ini();

void chuli();

//***************主函数***************************

void main()

{

ini();

while(1)

{ display(16,16,16,17);

if(key()==1) //当k1按下后则定时器驱动时钟开始走

{TR0=1;function=1;shan=0;

while(1)

{ send(); // 送数

display(a0, a1, a2, a3); // 显示

chuli(); //处理

}}}}

//*************初始化程序**************************

void ini()

{

TMOD=0x01,TH0=0x3c,TL0=0xb0;TH1=0x3c,TL1=0xb0; // 定时50ms中断一次EA=1,ET0=1,TR0=0;ET1=1;TR1=0;

}

//**************键值处理程序*************************

void chuli()

{ switch(key())

{case 0: break;

case 1: // 当按键k1按下后

{function++; // 判断按下的次数

switch(function)

{case 1:TR0=1;TR1=0;shan=0;break;//一次条秒同时闪烁

case 2: //两次调分同时闪烁

case 3: //三次调分同时闪烁

case 4: TR0=0;TR1=1;shan=1;break; //四次跳出调整状态时钟继续走时

case 5: function=1;TR0=1;TR1=0;shan=0;break; //轮流循环

}}

break;

case 2: //k2键上调

{ switch(function)

{ case 1: break;

case 2: min++; if(min==60) min=0;break;

case 3: hour++; if(hour==24) hour=0;break;

}}

break;

case 4: //k3键下调

{ switch(function)

{ case 1: break;

case 2: min--; if(min==255) min=59;break;

case 3: hour--; if(hour==255) hour=23;break;

}}

break;

}}

//*************定时器0的中断服务程序*****************

void timer0() interrupt 1 using 3

{

TH0=0x3c,TL0=0xb0;

t0++;

if(t0==1200)

{

t0=0;

min++;

if(min==60)

{

min=0;

hour++;

{if(hour==24)

hour=0; }} }}

//*************定时器1的中数服务程序,对shan取反,以使灯闪烁*************** void timer1() interrupt 3 using 2

{

TH0=0x3c,TL0=0xb0;

t1++;

if(t1==40)

{

t1=0;

shan=~shan;

} }

uchar keychuli()

{ uchar k;

k=P1; //P1口内容送K

k=~k; //取反

k=(k&0xff); //屏蔽高4位,如果扫描8键,屏蔽该句。

return(k); //返回键值

}

//***函数原型:key();功能:键盘扫描函数,函数返回值即键值。

uchar key()

{

uchar keyzhi,keyzhii; //电子钟键盘按键键值临时存放

keyzhi=keychuli(); //调P1口处理函数

if(keyzhi!=0) //有键动作延时去抖动,否则函数返回

{

send();

display(a0, a1, a2, a3);

display(a0, a1, a2, a3);

keyzhi=keychuli(); //再次调P1口处理函数

if(keyzhi!=0) //真正有键按下,取键值并暂存

{

keyzhii=keyzhi;

while(keyzhi!=0) //判按键是否释放,没有释放延时去抖动等待释放{

send();

display(a0, a1, a2, a3);

display(a0, a1, a2, a3);

keyzhi=keychuli();

}

keyzhi=keyzhii; //按键释放后恢复按键键值

} }

return(keyzhi); //返回按键键值

}

//***************显示子程序****************************

void display(uchar a3,uchar a2,uchar a1,uchar a0)

{ P2=table[a3];P1=0x10;delay(1);P2=table[a2];

P1=0x20;delay(1); P2=table[a1];P1=0x40;

delay(1);P2=table[a0];P1=0x80;delay(1);

}

//******************将数送至缓冲单元,当shan的标志位为1时,再根据此时的此时的功能标示,对该位熄灭******

void send()

{

a0=min%10;

a1=min/10;

a2=hour%10;

a3=hour/10;

if(shan==1)

{switch(function)

{

case 2: a0=16,a1=16;break;

case 3: a2=16,a3=16;break;

}}}

//***********延时子程序基数为1毫秒*******************

void delay(uint count)

{

uint j;

while(count--!=0)

{

for(j=0;j<80;j++);

}}

简易数字钟的设计

中文摘要 数字钟已经成为人们日常生活中不可缺少的必需品,广发应用于家庭及办公室等公共场所,给人们的生活、学习、工作及娱乐带来了极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使得数字钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。尽管目前市场上已有现成的数字钟集成电路芯片出售,价格便宜、使用方便,但鉴于单片机的定时器功能也可以完成数字钟的设计,因此进行数字的设计是必要的。在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来培养我们的综合分析和设计电路,写程序、调试电路的能力。 单片机具有体积小、功能强、可靠性高、价格低廉等一系列优点,不仅已成为工业测控领域普遍采用的智能化控制工具,而且已渗入到人们工作和生活的各个角落,有力地推动了各行各业的技术改造和产品的更新换代,应用前景广阔。 本次做的数字钟是以单片机(AT89C51)为核心,结合相关的元器件(共阴极LED 数码显示器等),再配以相应的软件,达到制作简易数字钟的目的。硬件部分采用了单片机原理实验室的实验箱进行合理接线调试;软件部分通过keil进行了C程序的修改编译,protues软件仿真等。最终在实验箱上实现了与仿真结果相同的实际效果。 关键词单片机定时功能、AT89C51、共阴LED、Keil、Protues软件。

Abstract Microelectronics and computer technology along with the rapid development and progress, making the design of electronic systems and applications have entered a new era. The traditional manual design process is being advanced electronic design automation technology to replace. And is currently supporting modern technology has become the universal platform for electronic design, and step by step to support the development of system-level design. Only to hardware description language and logic synthesis-based top-down design methodology to meet the increasingly complex needs of digital system design. The progressive development of the taxi industry, the taxi meter is getting higher and higher requirements, the user requires not only the performance of the stability of billing, billing and accurate anti-cheat functions; and as a result of the instability in oil prices, billing system the need for regular adjustment of the meter so that users can request not to change the hardware to facilitate the billing system modifications. The system is the use of language, it can make use of digital circuits and system description, simulation and automatic design, and software as a development platform designed billing system procedures taxi and carried out a simulation program. To the achievement of pre-billing and simulation, as well as car to start, stop, pause and other functions, and dynamic scan shows the number of fares. Key Words Microcontroller\、AT89C51、7SEG-MPX6-CC-RED 、Keil、Proteus

数字钟课设报告

1.本实验中所用元器件: 1)计数器:74ls190,74ls192,74ls161 2)译码器:7448七段显示译码器 3)共阴数码管 2.各元器件基本介绍: a)74ls190是十进制计数器,具有同步置零和异步预 置数的功能。 b)741S192是同步十进制可逆计数器,它具有双时钟 输入,并具有异步置零和异步预置数的功能。 c)74ls161为二进制同步计数器,具有同步预置数, 异步清零以及保持的功能。 d)7448七段显示译码器是驱动显示器的核心部件,它 可以将输入代码转化成相应的数字显示代码,并在 数码管上显示出来。 e)共阴数码管的阴极连接在一起,当某个发光二极管 的阳极为高电平时,二极管点亮相应的段被显示。

3.各元器件功能及相关图 注意:74ls190与74ls191逻辑图和功能表均相同74ls192与74ls193逻辑图和功能表均相同 74ls192(双时钟) 192 引脚排列图 74ls192功能表

74ls192逻辑符号 74ls190(单时钟) 引脚排列图

74ls190功能表 当置入控制端(LD)为低电平时,不管时钟CP的状态如何,输出端(Q0-Q3)即可预置成与数据输入端(D0~D3)相一致的状态。190的计数是同步的,靠CP加在4个触发器上而实现。当计数控制端(CT)为低电平时,在CP上升沿作用下Q0-03同时变化,从而消除了异步计数器中出现的计数尖峰。当计数方式控制(UD)为低电平时进行加计数,当计数方式控制(UD)为高电平时进行减计数。只有在CP为高电平时CT和UD才可以跳变

74ls161(二进制计数器) 管脚图介绍 时钟CP 四个数据输入端A~D 清零RD 使能S1S2 置数LD 数据输出端QA~QD

简易数字钟设计(已仿真)

简易数字钟设计 摘 要 本文针对简易数字钟的设计要求,提出了两种整体设计方案,在比较两个方案的优缺点后,选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。详细设计的时候又根据可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计, 最后将设计好的模块组合调试,并最终在EWB 下仿真通过。 关键词 数字钟,EWB ,74LS160,总线,三态门,子电路 一、引言:所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。 设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。 二、任务分析:能按时钟功能进行小时、分钟、秒计时,并显示时间及调整时间,能整点报时,定点报时,使用4个数码管,能切换显示。 总体设计 本阶段的任务是根据任务要求进行模块划分,提出方案,并进行比较分析,最终找到较优的方案。 方案一、采用异步电路,数据选择器 将时钟信号输给秒模块,秒模块的进位输给分模块,分模块进位输入给时模块,切换的时候使用2选1数据选择器进行切换,电路框图如下: 该方案的优点是模块内部简单,基本不需要额外的电路,但缺点也很明显,该方案结构不清晰,模块间关系混乱,模块外还需使用较多门电路,不利于功能扩充,且使用了异步电路,计数在59的时候,高一级马上进位,故本次设计不采用此方案。 方案二、采用同步电路,总线结构 时钟信号分别加到各个模块,各个模块功能相对独立,框图如下: 显示 切换 秒钟 分钟 小时 控制 1Hz 脉冲信号 闹钟

数字钟课程设计实验报告

《电子技术课程设计报告》 教学院:电气与电子信息工程学院 专业班级:xx级电子信息工程(x)班 学号:xxxxxxxxxxxx 学生:坏水 指导教师:xxxxxxxxxxxx 时间:2011.10.10~10.23 地点:电子技术实验室

课程设计成绩评定表

电子技术课程设计任务书 2011~2012学年第一学期 学生:坏水专业班级:xx电信本x班 指导教师:xxxxxxxxx 工作部门:电气与电子信息工程学院 一、课程设计题目:多功能数字钟电路的设计/直流稳压电源的设计 二、课程设计容(含技术指标): ①拟定多功能数字钟和直流稳压电源的组成框图,要现电路的基本功能,使用 的器件少,成本低; ②画出数字钟和直流稳压电源的主体电路逻辑图; ③测试多功能数字钟的逻辑功能,同时满足基本功能与扩展功能的要求; ④设计并安装各单元电路,要求布线整齐、美观,便于级联与调试;

四、基本要求 1.基本功能:要求设计出+5V的直流稳压电源。数字钟要求以数字形式显示时、分、秒的时间。小时计数器的计时要求为“12翻1”,要求具有手动校时功能。 2.扩展功能:定时控制,其时间自定;仿广播电台正点报时,自动报整点时数或触摸报整点时数(主要体现在理论知识上进行电路设计)。 (一)实训题目:直流稳压电源和多功能数字钟。 (二)实训目的: 1、巩固和加深学生对模拟电子技术,数字逻辑电路等课程基本知识的理解,综 合运用课程中所学到的理论知识去独立完成一个实际课题。 2、根据课程需要,通过查阅手册和文献资料,培养学生独立分析和解决实际问 题的能力。 3、通过电路方案的分析、论证和比较,设计计算和选用元气件,通过电路组装, 调试和检测环节,掌握电路的分析方法和设计方法。 4、熟用常用电子元气件的类型和特性,并掌握合理选用原则。 5、掌握电路图、PCB图的设计方法,学会电路的安装与调试。 6、掌握常用仪器、仪表的正确使用方法,学会电路整机指标的测试方法。(三)实训要求

multisim简易数字钟设计

实验名称 : 简易数字钟设计 系别: 班号: 实验者姓名: 学号: 实验日期: 2013 年 11 月 实验报告完成日期: 2013 年 12 月指导老师意见:

摘要:本数字钟实现了基本时间显示(包括分钟、小时、星期)、时间调整、闹钟以及精度为0.1s的秒表。用于显示的数码管可实现时间、闹钟、秒表显示。下面从外部到内部对时钟各模块说明并在最后介绍按键使用。 一、使用说明: (一)下表是操作步骤说明(从左到右逐级选择): (二)说明各按键的作用: 1、SWITCH1:为显示切换也可以说是功能切换,因为这两者是同时进行的,当切到显示闹钟时,你可以调整闹钟。 2、SWITCH2:次级切换按键,比如当切到闹钟时,你可以通过波动它而选择要调小时还是分钟;

3、INC:由于它大部分时间是在发生脉冲使当前对象的值增一的效果,故命名为INC。但在基本时间功能处还有清零功能,在秒表功能处有开始/暂停的功能。 4、CLR:在基本时间时是所有清零的功能,在秒表功能时是秒表清零功能。 二、各模块说明。 最外层为: ○1clock_base(基本时间计数); ○2ALARM&&SCREEN(闹钟判断、秒表功能和闹钟、秒表、时间显示切换); ○3SET_NUM(设置闹钟); ○4FUNCTION_CH(按键翻译) 附注:显示秒的数码管可去除。信号源为10Hz的时钟信号。秒表时,小时数码管为秒,分钟左一数码管为0.1秒。

(一)clock_base: 本模块实现秒、分、时、星期计数。由一片七进制计数器、一片24进制计数器、两片60进制计数器以及一片10进制计数器74160N构成(因为只允许用一个时钟信号源,为满足秒表需要故用之)。七进制、24进制、60进制计数器最后说明。 (二)ALARM&&SCREEN 本模块实现功能: 1、通过当前时间与设定的时间相比较实现闹钟功能并附有闹钟使 能; 2、用一片10进制计数器和一片60进制计数器实现秒表功能并附 有开始/暂停和重置功能; 3、用若干三或非门、非门、若干与门实现闹钟、秒表、时间显示 切换。

基于单片机设计简易数字钟

辽东学院信息技术学院 《单片机原理与接口技术》课程设计报告简易数字钟设计 学生姓名: 学号: 0915110606 班级: B1106 专业:电子信息工程 指导教师: 2014年07月

【摘要】 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 单片机模块中最常见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 单片机数字时钟是以单片机为核心,在它的基础上设计出来的数字时钟,本设计采用了STC公司生产的AT80C51型单片机设计了一个单片机最小系统,外接LED 显示电路,按键电路,晶振电路,复位电路模块构成了一个简易的数字钟,具有显示、时、分、秒的功能,且时、分、秒每一个参数都可以自行设置,以实现时间的校正,总体来说实现了一个数字时钟的应有功能。 关键词:80C51系列单片机、单片机最小系统、时钟定时器、4位一体数码管显示

【Abstract】 At the end of twentieth Century, electronic technology has obtained the rapid development, under its impetus, the modern electronic products into almost every field of the society, a strong impetus to the development of social productivity and the improvement of social information-based degree, simultaneously also makes the modern electronic products to further improve the performance, product upgrading have become increasingly fast pace. SCM module is the most common digital clock, digital clock is a device for digital circuit technology, minutes, seconds, compared with the mechanical clock has a higher accuracy and intuitive, and no mechanical devices, has a longer service life, so it is widely used. Single chip digital clock is a single-chip microcomputer as the core, the digital clock design based on it, this design uses the AT80C51 microcontroller STC produced the design of a microcomputer system, connected with the LED display circuit, keyboard circuit, crystal circuit, reset circuit module consists of a simple digital clock, with a display, when, minutes and seconds, function, and when, minutes and seconds, all parameters can be set up, to correct the realization of time, generally realize the function of a digital clock. Keywords:80C51 Series MCU, MCU minimum system, clock timer, one of 4 digital tube display

261-简易电子钟论文

专业课程设计 基于单片机的简易电子钟设计 专业课设说明: 本次专业课程设计通过对本专业知识的学习、应用,以STC89C51单片机为核心,辅以必要的电路,设计了一个简易的电子时钟,它由5V直流电源供电,通过数码管能够准确显示时间,调整时间。数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。在这次设计中,我们采用LED数码管显示时、分、秒,以24 小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。 本次课设由本人(傅锦城136712117)孙龙龙(136712116)黄宗旭(136712107)为一组共同完成。本人负责搜集简易时钟的设计和制作原理以及所用电路元件的参数资料和使用方法等相关资料。并且负责电路的焊接工作。孙龙龙负责单片机程序的编写。黄宗旭负责电路原理图的绘制和模拟。 1. 系统基本设计思路 此设计是在数码管上显示时、分和秒,电路包括:键盘、单片机及显示驱动电路。 各部分说明: (1)键盘用于校正、调节数码管上显示的时间。 (2)单片机通过输出各种电脉冲信号开驱动控制各部分正常工作。 (3)单片机发送的信号经过显示电路通过译码最终在数码管上显示出来。 (4)按键还可以切换12小时制和24小时制,并有指示灯。 系统工作过程:时间的主要处理过程是在CPU中完成的。CPU会随时对时间进行读取数据的操作。在读取了相应的寄存器的值后,CPU将读取的值进行处理,再通过I/O口把数据显示在数码管上。 2. 单元电路方案 根据设计要求,本系统主要由控制器模块、显示驱动模块和输入模块构成。 2.1 控制器模块 采用51系列作为系统控制器 单片机算术运算功能强,软件编程灵活、自由度大,可用软件编程实现各种算法和逻辑控制。由于其功耗低、体积较小、技术成熟和成本低等优点,在各个领域应用广泛。而且抗干扰性能好。 2.2 计时模块 本方案完全用软件实现数字时钟。原理为:在单片机内部存储器设三个字节分别存放时钟的时、分、秒信息。利用定时器与软件结合实现1秒定时中断,每产生一次中断,存储器内相应的秒值加1;若秒值达到60,则将其清零,并将相应的分字节值加1;若分值达到60,则清零分字节,并将时字节值加1;若时值达到24,则将十字节清零。该方案具有硬件电路简单的特点。但由于每次执行程序时,定时器都要重新赋初值,所以该时钟精度不高。而且,由于是软件实现,当单片机不上电,程序不执行时,时钟将不工作。 2.3 显示模块 采用LED数码管

基于单片机的电子时钟课程设计报告

目录 一、引言········ 二、设计课题········· 三、系统总体方案········· 四、系统硬件设计······ 1.硬件电路原理图 2.元件清单 五、系统软件设计········· 1.软件流程图 2.程序清单 六、系统实物图········ 七、课程设计体会········ 八、参考文献及网站········· 九、附录·········

一.引言 单片机因将其主要组成部分集成在一个芯片上而得名,就是把中央处理器、随机存储器、只读存储器、中断系统、定时器/计数器以及I/O接口电路等部件集成在一个芯片上。 基于单片机设计的数字钟精确度较高,因为在程序的执行过程中,任何指令都不影响定时器的正常计数,即便程序很长也不会影响中断的时间。 数字钟是采用数字电路实现对日期、时、分、秒,数字显示的计时装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表的报时功能。数字钟已成为人们日常生活中的必需品,广泛应用于家庭、车站、码头、剧院、办公室等场所,给人们的生活、学习、工作带来极大的方便。不仅如此,在现代化的进程中,也离不开电子钟的相关功能和原理,比如机械手的控制、家务的自动化、定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。而且是控制的核心部分。因此,研究数字钟及扩大其应用,有着非常现实的意义。 本设计使用12MHZ晶振与单片机AT89C51相连接,以AT89C51芯片为核心,采用动态扫描方式显示,通过使用该单片机,加之在显示电路部分使用HD74LS373驱动电路,实现在8个LED数码管上显示时间,通过4个按键进行调时、复位等功能,在实现各功能时数码管进行相应显示。软件部分用C语言实现,分为显示、延迟、调时、复位等部分。通过软硬件结合达到最终目的。

单片机课程设计--简易电子钟.doc

单片机课程设计报告设计课题:简易电子时钟的设计 专业班级:07通信1班 学生姓名:黎捐 学号:0710618134 指导教师:曾繁政 设计时间:2010.11.5—2010.12.20

一、设计任务与要求 (1)设计任务: 利用单片机设计并制作简易的电子时钟,电路组成框图如图所示。 (2)(2) 设计要求:1)制作完成简易的电子时钟,时间可调整。 2)有闹钟功能。 二、方案设计与论证 简易电子时钟电路系统由主体电路和扩展功能电路两主题组成,总体功能原理是以STC89C52单片机为主要的控制核心,通过外接4个独立式键盘作为控制信号源,八个七段数码管作为显示器件,蜂鸣器作为定时器件,单片机实时的去执行相应的功能。在数码管上显示出来,此时通过不同的按键来观看和调节各种数据。CPU 控制原理图如图1所示。 图1. CPU 控制原理图 三、硬件系统的设计 3.1 STC89C52控制模块 STC89C52是一个低功耗高性能单片机,40个引脚,32个外部双向输入/输出(I/O )端口,同时内含2个外中断口,2个16位可编程定时计数器,2个全双工串行通信口,STC89C51可以按照常规方法进行编程,也可以在线编程。其将通用的微处理器和Flash 存储器结合在一起,特别是可反复擦写的Flash 存储器可有效地降低开发成本。 MCS-52单片机内部结构 8052单片机包含中央处理器、程序存储器(ROM)、数据存储器(RAM)、定时/计数器、并行接口、串行接口和中断系统等几大单元及数据总线、地址总线和控制总线等三大总线,现在我们分别加以说明: 中央处理器: 中央处理器(CPU)是整个单片机的核心部件,是8位数据宽度的处理器,能处理8位二进制数据或代码,CPU 负责控制、指挥和调度整个单元系统协调的工作,完成运算和控制输入输出功能等操作。 数据存储器(RAM): 8052内部有128个8位用户数据存储单元和128 个专用寄存器单元,它们是统一编 时间显示显示 主控器(51单片机) 时间 调整 声音报 时 (选做)

简易数字钟

课程设计说明书(2012 /2013学年第二学期) 课程名称:单片机应用 题目:简易数字钟 专业班级:自动化1023 学生姓名:周艳强 学号:100412330 指导教师:韩昱苗敬利等 设计周数:2周 设计成绩: 2013年6 月26 日

目录 第一章课程设计目的***********************************3 第二章课程设计任务***********************************3 2.1设计题目***************************************3 2.2原始数据及主要任务******************************3 2.3技术要求***************************************3 第三章课程设计内容***********************************3 3.1方案设计***************************************4 3.2 CD4511芯片功能和使用方法************************4 3.3 MCS-51单片机定时器/计数器介*******************5 3.4 综合电路设计***********************************6 3.5单元电路设计*************************************7 3.5.1键盘单元*************************************7 3.5.2数码管显示***********************************8 3.5.3时钟产生单元**********************************8 3.5.4蜂鸣器电路单元********************************8 第四章原理和仿真************************************9 3.6 原理图和仿真图*********************************9 3.7系统调试**************************************10 3.8 实习心得体会**********************************11 参考文献*****************************************13 附录(c语言程序)*******************************14

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

简易数字钟的设计分解

单片机课程设计论文设计题目:简易数字钟单片机设计 学院:汽车学院 班级:电气工程及其自动化三班 成员名单:1、姓名:黄金栋学号:200909140330 2、姓名:高杰学号:200909140331 3、姓名:刘志学号:200909140332 指导教师:王春梅 课程设计时间:2012年5月14----2012年5月25

摘要 数字钟已经成为人们日常生活中不可缺少的必需品,广发应用于家庭及办公室等公共场所,给人们的生活、学习、工作及娱乐带来了极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使得数字钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。尽管目前市场上已有现成的数字钟集成电路芯片出售,价格便宜、使用方便,但鉴于单片机的定时器功能也可以完成数字钟的设计,因此进行数字的设计是必要的。在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来培养我们的综合分析和设计电路,写程序、调试电路的能力。 单片机具有体积小、功能强、可靠性高、价格低廉等一系列优点,不仅已成为工业测控领域普遍采用的智能化控制工具,而且已渗入到人们工作和生活的各个角落,有力地推动了各行各业的技术改造和产品的更新换代,应用前景广阔。 本次做的数字钟是以单片机(AT89C51)为核心,结合相关的元器件(共阴极LED 数码显示器等),再配以相应的软件,达到制作简易数字钟的目的。硬件部分采用了单片机原理实验室的实验箱进行合理接线调试;软件部分通过keil进行了C程序的修改编译,protues软件仿真等。最终在实验箱上实现了与仿真结果相同的实际效果。 关键词单片机定时功能、AT89C51、共阴LED、Keil、Protues软件。

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

简易数字钟的设计

第三单元简易数字钟的设计 数字钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无需机械传动等优点。因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数字电子钟,数字钟到处可见。 在数字电路的学习中,已经学习过用计数器芯片搭建数字钟。51单片机内部集成了定时器/计数器,这为构建数字钟带来了方便。在本单元中,学习如何用51单片机来构建一个功能数字钟。 【任务要求】 在6个数码管上显示时、分、秒,共6位数字。 通过单片机内部定时器控制走时,走时准确。 系统有四个按键,功能分别是调整时间,加,减,确定。在按下调整键时候,显示“时”的两位数码管以1 Hz 频率闪烁。如果再次按下调整键,则“分”开始闪 烁,“时”恢复正常显示,依次循环,直到按下确定键,恢复正常的显示。在数码 管闪烁的时候,按下加或者减键可以调整相应的显示内容。按键支持短按和长按, 即短按时,所修改的数字每次增加1或者减小1,长按时候以一定速率连续增加或 者减少10。 【学习知识点】 数码管的原理,驱动程序的实现。 51单片机内部定时器的原理及应用 独立按键的原理及程序的实现。 【内容安排】 第一节:数码管显示原理及应用实现 第二节:独立按键检测原理及应用实现 第三节:计时的原理及实现 第四节:基于定时器的程序改进 第五节:数字钟的构建

第一节数码管显示原理及应用实现 1.1 数码管显示原理 数字钟要把时间显示到数字显示装置上,常用的数字显示装置有数码管、液晶、LED、CRT显示器等。在单片机系统设计中,LED数码管是最基本的显示装置。在数字钟的设计中我们用数码管对中的小时、分和表来进行显示。 LED数码管能显示各种数字或符号,由于它具有显示清晰、亮度高、寿命长、价格低廉等特点,因此使用非常广泛。图1.1是几个数码管的图片:a图为单位数码管, b图为双位数码管,c图为四位数码管。 a 单位数码管 b 双位数码管 c 四位数码管 图1.1 数码管图片 那么数码管是如何的工作呢?还记得我们小时候玩过的“火柴棒游戏”吗,几根火柴组合起来,可以拼成各种各样的图形,数码管实际上就是利用这个原理做成的。 图1.2 单个数码管引脚标号,共阴和共阳的内部连接图

推荐-基于多功能数字钟的课程设计报告 精品

EDA技术课程设计 多功能数字钟 学院:城市学院 专业、班级: 姓名: 指导老师: 20XX年12月

目录 1、设计任务与要求 (2) 2、总体框图 (2) 3、选择器件 (2) 4、功能模块 (3) (1)时钟记数模块 (3) (2)整点报时驱动信号产生模块 (6) (3)八段共阴扫描数码管的片选驱动信号输出模块 (7) (4)驱动八段字形译码输出模块 (8) (5)高3位数和低4位数并置输出模块 (9) 5、总体设计电路图 (10) (1)仿真图 (10) (2)电路图 (10) 6、设计心得体会 (11)

一、设计任务与要求 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时输出喇叭有音乐响起。 二、总体框图 多功能数字钟总体框图如下图所示。它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。 系统总体框图 三、选择器件 网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、 seltime(驱动4位八段共阴扫描数码管的片选 驱动信号输出模块)、deled(驱动八段字形译 码输出模块)。

四、功能模块 多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。 (1) 时钟记数模块: <1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。 VHDL程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour24 is port( clk: in std_logic; reset:instd_logic; qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end hour24; architecture behav of hour24 is begin process(reset,clk) begin if reset='1' then qh<="000"; ql<="0000"; elsif(clk'event and clk='1') then if (qh<2) then if (ql=9) then ql<="0000"; qh<=qh + 1; else ql<=ql+1; end if; else if (ql=3) then ql<="0000"; qh<="000"; else ql<=ql+1; end if; end if; end if; end process; end behav; 仿真波形如下:

简易数字钟的设计

电 子 技 术 课 程 设 计 专业:电气工程及其自动化 学号: 姓名: 指导老师:

简易数字钟的设计 【摘要】本次在对简易数字钟进行设计中,提出了两种整体设计方案,设 计过程中对两种方案不断进行尝试,不断比较,在比较两个方案的优缺点后, 选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各 个模块的结构,再对模块内部进行详细设计。在之后详细设计的时候又根据 可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计, 最后将设计好的模块组合并调试。 【关键词】 电路,数字钟,74LS160,子电路 一、引言 随着社会的进步,科技发展的速度越来越快,科技产品更新的频率加大,而且当今很多领域大都用到数字钟,我们身边也遍布与数字钟有关的生活用品。。 所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。在做本简易数字钟设计之前,通过老师及查阅资料,我知道有对此多种设计方案 数字电路是我们计算机科学与技术学科的基础,数字电路实验是学习数字电路的一个重要环节,它不仅能巩固理论知识的学习,而其能提高实验动手能力,增强设计和调试电路的能力.设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。 二、设计要求 能按时钟功能进行小时、分钟、秒计时,并显示时间及调整时间,能整点报时,定点报时,使用4个数码管,能切换显示。小时的计时要求为“12翻1”,分和秒的计时要求为60进位。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…

EDA数字时钟课程设计报告

EDA技术及应用课程设计说明书 2013 届电子信息工程专业班级 题目数字时钟 学号 姓名 指导教师 二О一五年月日

一、基本原理 一个完整的时钟应由三部分组成:秒脉冲发生电路、计数显示部分和时钟调整部分。 秒脉冲发生电路原理:一个时钟的准确与否主要取决于秒脉冲的精确度。为了保证计时准确我们对系统时钟48MHz进行了48000000分频,从而得到1Hz的秒脉冲。 计数显示部分原理:显示部分是用数码管LED实现的,这里使用的是共阳极的数码管如图所示8个数码管,其中左边两个数码管用来显示时的个位和十位、中间的显示分的个位和十位、最右边两个显示分的个位和十位。 时钟调整部分原理:校时电路里定义key[0]、key[1]和k2、k3分别用于控制时钟的计时开始、清零和调整功能中的时的加1、分的加1处理,从而完成对现在的时间调整。本实验电路校时电路在此完成了暂停、清零、时调整和分调整。

二、硬件设计 芯片图: 图1 数字时钟原理图 程序的调试工作都是在电脑上完成的,通过程序的输入、原理图的建立、管脚分配、编译、仿真、再下载到芯片进行运行。

电路中采用共阳极连接的七段数码管,通过程序的控制扫描驱动来显示时钟的时-分-秒。

程序中的按键设定为K1暂停、K2清零、K3调时、K4调分元件清单: 三、数字时钟的Verilog实现 管脚的分配: 程序: module clock(clk,s1,,s2,key,dig,seg); //模块名clock input clk,s1,s2; //输入时钟 input[1:0]key; //输入按键 output[7:0]dig; //数码管选择输出引脚

简易数字钟设计

信息与电气工程学院 课程设计说明书(2014 /2015 学年第二学期) 课程名称:单片机课程设计 题目:简易数字钟设计 专业班级: 学生姓名: 学号: 指导教师: 设计周数:2周 设计成绩: 2015年6 月25 日

1、课程设计目的 (1)综合利用所学单片机知识完成一个单片机应用系统设计并在实验室实现,从而加深对单片机软硬知识的理解,获得初步的应用经验。 (2)学习A T89C51定时/计数器的原理及基本应用。 (3)掌握多为数码管动态显示方法。 (4)掌握Keil uVision2 IDE的使用方法。【包括项目文件的建立,给项目添加程序件, 编译、连接项目,形成目标文件,运行调试观察结果,多文件的处理,仿真环境的设置。】 (5)掌握Keil C51的调试技巧。【包括如何设置和删除断点,如何查看和修改寄存器的内容,如何观察和修改变量,如何观察存储器区域,并行口的使用,定时器/计数器的使用,串行口的使用,外中断的使用。】 (6)掌握PROTEUS软件使用过程。 2、简易数字钟的要求及软硬件的分析 2.1简易数字钟的设计要求 利用电子电路构成一个简易数字钟,该数字钟电路主要由C51单片机、4位共阳极数码管、时计数、分计数器、蜂鸣器、LED灯、NPN型和PNP型三极管、按键、若干电阻和导线组成。其中电路系统的分计数器采用60进制,时计数器采用24进制,。译码器显示电路将时、分计数器的输出状态通过三个两位共阳数码管显示出来。整点报时电路根据计时系统的输出状态产生一个脉冲信号,用蜂鸣器输出。相对机械钟而言,数字钟能达到准确计时,并显示小时、分钟,同时通过不同按键的不同功能对该数字钟进行小时和分钟调整,也可通过按键来接她通蜂鸣器来发出响声。 2.2数字钟的软件分析 2.1.1数字钟软件的系统分析 系统的软件设计也是工具系统功能的设计。单片机软件的设计主要包括执行软件(完成各种实质性功能)的设计和监控软件的设计。单片机的软件设计通常要考虑以下几个方面的问题:(1)根据软件功能要求,将系统软件划分为若干个相对独立的部分,设计出合理的总体结构,使软件开发清晰、简洁和流程合理; (2)培养良好的编程风格,如考虑结构化程序设计、实行模块化、子程序化。既便于调试、链接,又便于移植和修改; (3)建立正确的数学模型,通过仿真提高系统的性能,并选取合适的参数;

相关主题