搜档网
当前位置:搜档网 › 微机原理实验---存储器的扩展实验.

微机原理实验---存储器的扩展实验.

微机原理实验---存储器的扩展实验.
微机原理实验---存储器的扩展实验.

半导体存储器原理实验报告

_管理_学院__信息管理与信息系统_专业_2_班______组、学号3109005713___姓名_吴兴平_ ___协作者_林敬然__________ 教师评定_____________ 半导体存储器原理实验 1.实验目的与要求: 实验目的:(1)掌握静态存储器的工作特性及使用方法。(2)掌握半导体随机存储器如何存储和读取数据。 实验要求:按练习一和练习二的要求完成相应的操作,并填写表2.1各控制端的状态及记录表2.2的写入和读出操作过程。 2. 实验方案: (1)使用了一片6116静态RAM(2048×8位),但地址端A8-A10脚接地,因此实际上存储容量为256字节。存储器的数据线D7-D0接至数据总线。 (2)使用一片8位的74LS273作为地址寄存器(AR),地址寄存器的输出端接存储器6116的地址线A7-A0,所以存储单元的地址由地址存储器AR提供。 (3)数据开关(INPUT DEVICE)用来设置地址和数据,它经过一个三态门74LS245与数据总线相连,分别给出地址和数据。 (4)地址显示灯A D7-AD0与6116地址线相连,用来显示存储单元的地址,数据总线上的显示灯B7-B0用来显示写入存储单元的数据或从存储单元读出的数据。 (5)存储器有三个控制信号:CE片选信号、OE读命令信号、WE写信号。当片选信号CE=0时,RAM被选中,可以进行读/写操作;当CE=1时,RAM未被选中,不能进行读/写操作。读命令信号OE在本实验中已固定接地,在此情况下,当CE=0,WE=1时,存储器进行写操作,当CE=0,WE=0时,存储器进行读操作。

(6)LDAR是地址存储器AR存数控制信号。 (7)按图连接好实验电路,检查无误后通电。 (8)将表2.2的地址和内容转化为二进制。 (9)参考以上操作,向存储器单元里先写第一个单元的地址、然后向第一个地址,再写第二个地址,然后向第二个地址单元写内容,就这样不断循环操作,直到做完。 3. 实验结果和数据处理: (1)填写表2.1各控制端的状态。如下图所示: 表2.1 (2)记录表2.2的写入和读出操作过程。 向存储器的00H,01H,02H,03H,04H,05H,06H地址单元分别写入数据AAH,55H,33H,44H,66H,08H,F0H(十六进制),如表所示:

实验三:内存储器部件实验

实验三内存储器部件实验 一、实验目的 1、通过学习TEC-2000教学计算机的存储器系统,深入理解计算机主存储器的功能和组成; 2、学习和理解只读存储器、静态存储器芯片的读写原理,掌握计算机存储器系统的扩展方法。 二、实验说明 TEC-2000教学计算机存储器系统由ROM和RAM两个存储区组成。ROM存储区由2个EEPROM芯片58C65(8192×8)组成,容 量为8192×16。RAM存储区由2个RAM芯片6116(2048×8)组成,容量为2048×16。TEC-2000教学计算机中还预留了2个存储 器芯片插座,可以插上相应存储器芯片进行存储器容量扩展的教学实验。 TEC-2000教学计算机存储器系统组成结构图 三、实验内容 1、完成存储器容量扩展实验,为扩展存储器选择一个地址,注意读写和/OE等控制信号的正确状态; 2、用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM(58系列)存储特性的区别以及在读写上的差异; 3、用监控程序的A命令编写一段程序,对RAM(6116)进行读写,用D命令查看结果是否正确。 四、实验步骤 1、RAM实验 RAM(6116)支持随机读写操作,可直接用A、E命令向存储器输入程序或改变存储单元的值。RAM中的内容在断电后会消失,重新启动教学机后会发现存储单元的值发生了改变。 1)用E命令改变内存单元的值并用D命令观察结果。 ⑴在命令行提示符状态下输入: E 2020↙ 屏幕显示2020 内存单元原值。按如下形式键入: 2222(空格)3333(空格)4444(空格)5555 ⑵在命令行提示符状态下输入: D 2020↙ 观察屏幕显示的从2020内存单元开始的值。 ⑶断电后重新启动教学实验机,用D命令观察内存单元2020-2023的值。 2)用A命令输入一段程序,执行并观察结果。 ⑴在命令行提示符状态下输入: A 2000↙ 键入如下汇编程序: 2000:MVRD R0, AAAA 2002:MVRD R1, 5555 2004:AND R0, R1

实验十四 存储器扩展机读写实验

实验十四存储器扩展机读写实验 一、实验目的 (1)通过阅读并测试示例程序,完成程序设计题,熟悉静态RAM的扩展方法。 (2)了解8086/8088与存储器的连接,掌握扩展存储器的读写方法。 二、实验内容 1.实验原理(62256RAM介绍) 62256是32*8的静态存储器,管脚如图所示。其中:A0~A14为地址线,DB0~DB7为数据线,/cs为存储器的片选,/OE为存储器数据输出选通信号,/WE为数据写入存储器信号。62256工作方式如下图。 /CS /WE /OE 方式DB-~DB7 H X X 未选中高阻 L H H 读写禁止高阻 L L H 写IN L H L 读OUT 2.实验内容 设计扩展存储电器的硬件连接图并编制程序,讲字符A~Z循环存入62256扩展RAM 中,让后再检查扩展存储器中的内容。 三、程序设计 编写升序,将4KB扩展存储器交替写入55H和0AAH。 程序如下: RAMADDR EQU 0000H RAMOFF EQU 9000H COUNT EQU 800H CODE SEGMENT ASSUME CS:CODE START: PROC NEAR MOV AX,RAMADDR MOV DS,AX MOV BX,RAMOFF MOV CX,COUNT MOV DL,55h MOV AX ,0AAH REP: MOV [BX],DL INC BX MOV [BX],AX INC BX LOOP REP JMP $ CODE ENDS END START 四、实验结果 通过在软件上调试,运行时能够看到内存地址的改变,证明此扩展的程序成功实现了。 五、实验心得

静态存储器扩展实验报告

静态存储器扩展实验报告告圳大学实验报深

微机原理与接口技术 课程名称: 静态存储器扩展实验实验项目名称: 信息工程学院学院: 专业:电子信息工程

指导教师:周建华 32012130334 学号:班级:电子洪燕报告人:班 2014/5/21 实验时间: 实验报告提交时间:2014/5/26 教务部制. 一.实验目的与要求: 1. 了解存储器扩展的方法和存储器的读/写。 2. 掌握CPU对16位存储器的访问方法。

二.实验设备 PC机一台,TD-PITE实验装置或TD-PITC实验装置一套,示波器一台。 三.实验原理VCC28A141WE27A122A1326A73A8254A6存储器是用来存储信息的A924A55A1123A46OE22A3762256A10218A2CS209A1部件,是计算机的重要组成部D719A010D618D011D517D112D416D213D315GND14管组成的是由MOS分,静态RAM触发器电路,每个触发器可以存放1位

信息。只要不掉电,所储存的信息就不会丢失。因此,静态RAM工作稳定,不要外加刷新电路,使用方便。 但一般SRAM 的每一个触发器是由6个晶体管组成,SRAM 芯片的集成度不会太高,目前较常用的有6116(2K×8位),图4.1 62256引脚图6268位)622532位。本验平台上选. 用的是62256,两片组成32K×16位的形式,共64K字节。 62256的外部引脚图如图4.1所示。 本系统采用准32位CPU,具有16位外部

数据总线,即D0、D1、…、D15,地址总线为BHE#(#表示该信号低电平有效)、BLE #、A1、A2、…、A20。存储器分为奇体和偶体,分别由字节允许线BHE#和BLE#选通。 存储器中,从偶地址开始存放的字称为规则字,从奇地址开始存放的字称为非规则字。处理器访问规则字只需要一个时钟周期,BHE#和BLE#同时有效,从而同时选通存储器奇体和偶体。处理器访问非规则字却需要

实验四 静态随机存储器实验

实验四静态随机存储器实验 一.实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 二.实验设备 TDN—CM++计算机组成原理教学实验系统一台,排线若干。 三.实验内容 1.实验原理 实验所用的半导体静态存储器电路原理如图所示,实验中的静态存储器一片6116 (2K﹡8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。 地址灯AD0—AD7与地址线相连,显示地址线内容。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。 因地址寄存器为8位,接入6116的地址A7—A0,而高三位A8—A10接地,所以 其实际容量为256字节。6116有三个控制线:CE(片选线)OE(读线)WE(写 线)。当片选有效(CE=0)时,OE=0时进行读操作,WE=0时进行写操作。本实 验中将OE常接地,在此情况下,当CE=0 WE=0时进行读操作,其写时间与T3 脉冲宽度一致。 实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可调,其它电平控制信号由“SWITCH UNIT”单元的二进制开关模拟,其中SW—B为 低电平有效,LDAR为高电平有效。 2.实验步骤 (1)在时序电路模块中有两个二进制开关“STOP”和“STEP”,将“STOP” 开关置为“RUN”状态,将“STEP”开关置为“STEP”状态。 (2)按“图4 存储器实验连线图”连接实验线路,仔细查向无误后接通电源。 由于存储器模块内部的连线已经接好,因此只需完成电路的形成、控制信 号模拟开关、时钟脉冲信号T3与存储模块的外部连接。 (3)给存储器的00 01 02 03 04地址单元中分别写入数据11 12 13 14 15,具体操作步骤如下:(以向00号单元写入11为例)

实验4存储器部件实验

北京林业大学 11学年—12学年第 2 学期计算机组成原理实验任务书 专业名称:计算机科学与技术实验学时: 2 课程名称:计算机组成原理任课教师:张海燕 实验题目:实验四内存储器部件实验 实验环境:TEC-XP+教学实验系统、PC机 实验内容 1.设计扩展8K字存储器容量的线路图,标明数据线、地址线和控制信号的连接关系。 2.扩展教学机的存储器空间,为扩展存储器选择一个地址,并注意读写等控制信号的正确状态。 3.用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM (58C65)在读写上的异同。 4.用监控程序的A命令编写一段程序,对RAM(6116)进行读写,用D命令查看结果是否正确。 5.用监控程序的A命令编写一段程序,对扩展存储器EEPROM(58C65)进行读写,用D命令查看结果是否正确;如不正确,分析原因,改写程序,重新运行。 实验目的 1.熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处。 2.理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案。 3.了解如何通过读、写存储器的指令实现对58C65ROM芯片的读、写操作。 4.加深理解存储器部件在计算机整机系统中的作用。 实验要求 1.实验之前认真预习,明确实验的目的和具体实验内容,做好实验之前的

必要准备。 2.想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果; 3.在教学实验过程中,要爱护教学实验设备,记录实验步骤中的数据和运算结果,仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。 4.实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,运算结果的分析讨论,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。 实验说明 内存储器是计算机中存放正在运行中的程序和相关数据的部件。在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括只读存储区(ROM、存放监控程序等)和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分成3组,其地址空间分配关系是:0-1777H用于第一组ROM,固化监控程序,2000-2777H用于RAM,保存用户程序和用户数据,其高端的一些单元作为监控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩展内存容量(存储器的字、位扩展)的教学实验。 在这里还要说明如下两个问题。 第一,要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8K个单元、每个单元由8个二进制位组成)存储器芯片实现。 第二,当存储器选用58C65ROM芯片时,它属于电可擦除的EPROM器件,可以通过专用的编程器软件和设备向芯片的写入相应的内容,这是正常的操作方式。也可以通过写内存的指令向芯片的指定单元写入16位的数据,只是每一次的这种写操作需要占用长得多写入时间,例如几百个微秒,可以通过运行完成等待功能的子程序来加以保证。本次试验采用的是通过写内存的指令将数据写入芯片

实验一扩展存储器读写实验

实验一:扩展存储器读写实验 一.实验要求 编制简单程序,对实验板上提供的外部存贮器(62256)进行读写操作。 二.实验目的 1.学习片外存储器扩展方法。 2.学习数据存储器不同的读写方法。 三.实验电路及连线 将P1.0接至L1。CS256连GND孔。 四.实验说明 1.单片机系统中,对片外存贮器的读写操作是最基本的操作。用户藉此来熟悉MCS51单片机编程的基本规则、基本指令的使用和使用本仿真实验系统调试程序的方法。 用户编程可以参考示例程序和流程框图。本示例程序中对片外存贮器中一固定地址单元进行读写操作,并比较读写结果是否一致。不一致则说明读写操作不可靠或该存储器单元不可靠,程序转入出错处理代码段(本示例程序通过熄灭一个发光二极管来表示出错)。读写数据的选用,本例采用的是55(0101,0101)与AA(1010,1010)。一般采用这两个数据的读写操作就可查出数据总线的短路、断路等,在实际调试用户电路时非常有效。 用户调试该程序时,可以灵活使用单步、断点和变量观察等方法,来观察程序执行的流程和各中间变量的值。 2.在I状态下执行MEM1程序,对实验机数据进行读写,若L1灯亮说明RAM读

写正常。 3.也可进入LCA51的调试工具菜单中的对话窗口,用监控命令方式读写RAM,在I状态执行SX0000↓ 55,SPACE,屏幕上应显示55,再键入AA,SPACE,屏幕上也应显示AA,以上过程执行效果与编程执行效果完全相同。 注:SX是实验机对外部数据空间读写命令。 4.本例中,62256片选接地时,存储器空间为0000~7FFFH。 五.实验程序框图 实验示例程序流程框图如下: 六.实验源程序: ORG 0000H LJMP START ORG 0040H START:

静态随机存储器实验

静态随机存储器实验 一、实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 二、实验设备 (1)TDN-CM+或者TDN-CM++教学试验系统一套和导线若干。 (2)PC机(或示波器)一台。 三、实验原理 实验所用的半导体静态存储器电路原理如图1所示。(见最后一页) 实验中的静态存储器由一片6116(2K×8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。(地址灯为AD0--AD7显示地址线内容。)数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。 地址寄存器为8位,接入6116的地址A7—A0,其高三位A8—A10接地,那么实际容量为256字节。 6116有三个控制线:CE(片选线),OE(读线),WE(写线)。当CE=0和OE=0时进行读操作,WE=0时进行写操作。 本实验中将OE常接地,在此情况下,当CE=0,WE=0时进行读操作,CE=0,WE=1时进行写操作,其写时间与T3脉冲宽度一致。 实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可调,其它电平控制信号由SWITCH UNIT单元的二进制开关模拟,其中SW-B为低电平有效,LDAR为高电平有效。 四、实验步骤 (1)具体接线方法如图2所示。(见最后一页)按图连接实验线路,仔细查线无误后,接通电源。 (2)形成时钟脉冲信号T3。 1、接通电源,用示波器接入方波信号源的输出插孔H23,调节电位器W1及W2, 使H23端输出实验所期望的频率的方波。 2、将时序电路模块中的φ和H23排针相连。 3、在时序电路模块中有两个二进制开关STOP和STEP。将STOP开关置为 "RUN"状态,STEP开关置为"EXEC"状态时,按动微动开关START,则T3输出。 为连续的方波信号.此时,调节电位器W1,用示波器观察,使T3输出实验要求的脉冲信号。同时可测得T3的频率和占空比(我用的是f=85.03HZ 占空比为0.24)。 然后使STOP开关为"RUN"状态,STEP开关为"STEP"状态时,每按动一次微动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。 (3)写存储器。给存储器的00,01,02,03,04地址单元分别写入数据11H,12H,13H,14H,15H。具体如下 1、写地址。关闭存储器的片选(CE=1),打开地址锁存器门控信号(LDAR=1),打开数据开关三态门(SW-B=0),由数据开关给出所要写入的存储单元的地址,按动START产生T3脉冲将地址打入地址锁存器。

(整理)计算机组成实验五-存储器读写实验

实验五存储器读写实验 一、实验目的 1.掌握存储器的工作特性。 2.数学静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 存储器是计算机的主要部件,用来保存程序和数据。从工作方式上分类,存储器可分成易失性和非易失性存储器,易失性存储器中的数据在关电后将不复存在,非易失性储器又可分为动态存储器和静态存储器,动态存储器保存信息的时间只有2ms,工作时需要不断更新,既不断刷新数 据;静态存储器只要不断电,信息是不会丢失的。为简单起见,计算机组成实验用的是容量为2K的镜头存储器6116。 1.静态存储器芯片6116的逻辑功能 6116是一种数据宽度为8位(8个二进制位),容量为2048字节的态存储器芯片,封在24引脚的封装中,封装型式如图2-7所示。 6116芯片有8根双向三态数据线D7-D0,所谓三态是指输入状态、输 出状态和高阻状态,高阻状态数据线处于一种特殊的“断开”状态; 11根地址线A10-A0,指示芯片内部2048个存储单元号;3根控制线 片选控制信号,低电平时,芯片可进行读写操作,高电平时,芯片保存信息不能进行读写;为写入控制信号,低电平时,把数据线上 的信息存入地址线A10-A0指示的存储单元中;为输出使能控制信 号,低电平时,把地址线A10-A0指示的存储单元中的数据读出送到数 据线上。芯片控制信号逻辑功能见表2-9。 表2-9 6116芯片控制信号逻辑功能表

图2-7 存储器部件电路图 2. 3.存储器实验单元电力路 因为在计算机组成原理实验中仅用了256个存储单元,所以6116芯片的三根地址线A11-A8接地也没有多片联用问题,片选信号接地使芯片总是处于被选中状态。芯片的WE和信号分别连接实验台的存储器写信号和存储器读写信号,存储器实验单元逻辑电路如图2-7所示。这种简化了控制过程的实验电路可方便实验进行,存储器实验单元电路控制信号逻辑功能见表2-10。

实验二-静态随机存储器-(罗忠霖)

集美大学计算机工程学院实验报告 课程名称:计算机组成原理指导教师:汪志华实验成绩:实验项目编号: 3.6实验项目名称:静态随机存储器实验 班级:计算1013姓名:何荣贤 罗忠霖学号:2010810071 2010810072 上机实践日期:2012/11/15上机实践时间:2学时 一、实验目的 掌握静态随机存储器RAM工作特性及数据的读/写方法。 二、实验设备 (1)TDN-CM+或TDN-CM++教学实验系统一套; (2)PC机(或示波器)一台; 三、实验原理 ⑴、在此实验中,所用的半导体静态存储器又一片6116(2K×8)构成,其数据线接至 数据总线,地址线又地址锁存器(74LS273)给出。地址灯AD7-AD0与地址线连, 显示地址线内容。数据开关经三态门(74LS245)连至数据总线,分时给出地址和 数据。 ⑵、6116有三个控制线:CE(片选线)、OE(读线)、WE(写线)。当片选有效 (CE=0)时,OE=0时进行读操作,WE=0时进行写操作,在本实验中,将OE 常接地,因此6116的引脚信号WE = 1时进行度操作,WE = 0时进行写操作。 ⑶、要对存储器进行读(写)操作,必须设置控制端CE=0,WE=0(WE=1),同时有T3 脉冲到来。 ⑷、实验时,将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可 调(在本实验中影响不大),其它电平控制信号由“SWITCH UNIT”单元的二进制 开关模拟,其中SW-B(三态门)为低电平有效,LDAR为高电平有效。 四、实验步骤 (1)将时序电路模块中的Φ和H23排针相连。将时序电路模块中的二进制开关 “STOP”设置为“RUN”状态、将“STEP”设置为"STEP"状态。注意:关于stop 和step的说明: 将“STOP”开关置为“Run"状态、“STEP”开关置为“EXEC” 状态时,按动微动开关START,则T3输出为连续的方波信号,此时调节电位器 W1,用示波器观察,使T3输出实验要求的脉冲信号。当“STOP”开关置为 “RUN”状态、“STEP”开关置为"STEP"状态时,每按动一次微动开关START, 则T3输出一个单脉冲,其脉冲宽度与连续方式相同。 (2)按图2连接实验线路,仔细查线无误后接通电源。

西南交大 实验8 指令存储器与取指令部件的设计

实验8 指令存储器与取指令部件的设计 西南交大计算机组成原理实验(代码) 实验要求:建立256*16的指令存储器ROM,将它关联到元件IPM-Rom,有PC 值决定存储器地址,PC有清零,置数,自动加一,自动减一功能,并将指令输出到数码管显示。 实验原理:建立内存文件,256代表内存地址是8位,16代表内存数据是16位实验代码: PC: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PC is port(clk,reset,load,add:in std_logic; inn:in std_logic_vector(7 downto 0); output:buffer std_logic_vector(7 downto 0)); end; architecture one of PC is begin process(clk) begin --wt<=load&add; if clk'event and clk='1' then if reset='1' then output<="00000000"; else if load='1' then output<=inn; else if add='1' then output<=output+1; else output<=output-1; end if; end if; end if; end if; end process; end; FRQ:用于分频 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FRQ is port(clk_in:in std_logic; clk_out:out std_logic); end;

存储器扩展实验

实验5 存储器扩展实验 一、实验目的 1.掌握PC存储器扩展的方法。 2.熟悉6264芯片的接口方法。 3.掌握8031内部RAM和外部RAM的数据操作 二、实验设备 PC机、星研Star16L仿真器系统+仿真头PODPH51(DIP)、EL-Ⅱ型通用接口板实验电路,PROTEUS仿真软件。 三、实验内容 1)向外部存储器的7000H到8000H区间循环输入00~0FFH数据段。设置断点,打开外部数据存储器观察窗口,设置外部存储器的窗口地址为7000H—7FFFH。全速运行程序,当程序运行到断点处时,观察7000H—7FFFH的内容是否正确。 四、实验原理 实验系统上的两片6264的地址范围分别为:4000H~5FFFH,6000H~7FFFH,既可作为实验程序区,也可作为实验数据区。6264的所有信号均已连好。(3000H~3FFFH也可用) 五、实验方法 1、运用PROTUES软件进行虚拟仿真实验。按照实验要求用PROTUES软件绘制电路,编制程序,并通过调试。 2、运用星研仿真系统进行实际系统仿真实验。将星研仿真器与微机和目标板相互连接构成完整的硬件仿真系统,按照实验要求在通用实验板上进行硬件系统连接,并用星研仿真器进行系统仿真运行调试。 3、实验说明 在采用星研仿真时,若CPU选型为8051则,应将P2、P3口修改为总线模式(默认为IO口模式)。若为8031CPU则无此选项,因此不必修改。 4、星研仿真器设置时,注意,在项目工作环境设置选项中的存储器借出方式中,不能借用仿真器的外部数据空间(直接选择默认方式即可),否则无法正确测试实验箱上的存储器。 5、利用星研仿真器,在选择用户板外部RAM方式下,可以在存储器窗口中,通过直接对外部存储器单元的内容进行修改来确定该单元是否可用,可以修改的单元,表明用户可用,如果无法修改(无论键盘输入任何数字与字符,始终显示FF),则表明该存储单元不可用。 六、实验电路 1、PROTEUS 仿真电路

半导体存储器原理实验报告

计算机组成原理课程设计实验报告 学院:计算机科学与工程学院 专业:计算机科学与技术 班级:计科一班 学号: 姓名: 评分:

2013年05月17日 半导体存储器原理实验报告 1.实验目的 2.(1)掌握静态存储器的工作特性及其使用方法; (2)掌握半导体随机存储器如何存储和读取数据。 3.实验原理 4.实验内容 如图,在protues里进行电路连接。 (1)使用了一片6116静态RAM(2048×8位),但地址端A8-A10脚接地,因此实际上存储容量为256字节。存储器的数据线D7-D0接至数据总线。

(2)使用一片8位的74LS273作为地址寄存器(AR),地址寄存器的输出端接存储器6116的地址线A7-A0,所以存储单元的地址由地址存储器AR提供。(3)数据开关(INPUT DEVICE)用来设置地址和数据,它经过一个三态门74LS244与数据总线相连,分别给出地址和数据。 4)用74LS273作为锁存器,连接总线与存储器。 (5)存储器有三个控制信号:CE片选信号、OE读命令信号、WE写信号。当片选信号CE=0时,RAM被选中,可以进行读/写操作;当CE=1时,RAM未被选中,不能进行读/写操作。读命令信号OE在本实验中已固定接地,在此情况下,当CE=0,WE=1时,存储器进行写操作,当CE=0,WE=0时,存储器进行读操作。5.数据记录:

DSW SW7-SW074LS373 (OE)# 74LS273 CLK 611674LS244 Q7-Q0 6116 D7-D0 备注 (CE)#(OE)#(WE)# 000000000111100000000——地址00H 写入AR 0000000101001——00000001数据01H 写入RAM 000100000111100010000——地址10H 写入AR 0000001001001——00000010数据02H 写入RAM 00000000000000000000000000000地址00H 读出数据00010000000000001000000010000地址10H 读出数据 总结及心得体会 在学总线那一章节时,对于老师提到的各种74LSXXX名词,总是云里 雾里的,经过实验,现在对总线这一章节的内容有了更为清晰的认识,也 了解到了各种74LSXXX的功能以及在模拟电路中起到的作用。 11级计算机科学与技术一班: 2013年05月17日

存储器和IO扩展实验,计算机组成原理

科技学院 课程设计实验报告 ( 2014--2015年度第一学期) 名称:计算机组成原理综合实验题目:存储器和I/O扩展实验 院系:信息工程系 班级: 学号: 学生姓名: 指导教师:李梅王晓霞 设计周数:一周 成绩: 日期:2015 年1 月

一、目的与要求 1. 内存储器部件实验 (1)熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处;学习用编程器设备向EEPROM芯片内写入一批数据的过程和方法。 (2)理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案; (3)了解静态存储器系统使用的各种控制信号之间正常的时序关系; (4)了解如何通过读、写存储器的指令实现对58C65 ROM芯片的读、写操作; (5)加深理解存储器部件在计算机整机系统中的作用。 2. I/O口扩展实验 学习串行口的正确设置和使用。 二、实验正文 1.主存储器实验内容 1.1实验的教学计算机的存储器部件设计(说明只读存储器的容量、随机读写器的容量,各选用了什么型号及规格的芯片、以及地址空间的分布) 在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括唯读存储区(ROM,存放监控程序等) 和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB 的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片 实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分 成3组,其地址空间分配关系是:0-1777h用于第一组ROM,固化监控程序, 2000-2777h用于RAM,保存用户程序和用户数据,其高端的一些单元作为监 控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩 展内存容量(存储器的字、位扩展)的教学实验。 1.2扩展8K字的存储空间,需要多少片58C65芯片,58C65芯片进行读写时的特殊要求 要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8192个单元、每个单元由8个二进制位组成)存储器芯片实现。对 58C65 ROM芯片执行读操作时,需要保证正确的片选信号(/CE)为低点平, 使能控制信号(/OE)为低电平,读写命令信号(/WE)为高电平,读58C65 ROM 芯片的读出时间与读RAM芯片的读出时间相同,无特殊要求;对58C65 ROM 芯片执行写操作时,需要保证正确的片选信号(/CE)为低电平,使能控制信 号(/OE)为高电平,读写命令信号(/WE)为低电平,写58C65 ROM芯片的 维持时间要比写RAM芯片的操作时间长得多。为了防止对58C65 ROM芯片执 行误写操作,可通过把芯片的使能控制引脚(/OE)接地来保证,或者确保读 写命令信号(/WE)恒为高电平。 1.3在实验中思考为何能用E命令直接写58C65芯片的存储单元,而A命令则有时不正确;

静态随机存储器实验

实 验 项 目 静态随机存储器实验实验时间2015-11-14 实 验 目 的 掌握静态随机存储器RAM 工作特性及数据的读写方法。 实 验 设 备 PC机一台,TD-CMA实验系统一套 实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM 单元),如图2-1-1 SRAM 6116引脚图所示。6116 有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如表2-1-1 所示,当片选有效(CS=0)时,OE=0 时进行读操作,WE=0 时进行写操作,本实验将CS 常接地。 图2-1-1 SRAM 6116引脚图 由于存储器(MEM)最终是要挂接到CPU上,所以其还需要一个读写控制逻辑,使得CPU 能控制MEM的读写,实验中的读写控制逻辑如图2-1-2所示,由于T3的参与,可以保证MEM的写脉宽与T3一致,T3由时序单元的TS3给出(时序单元的介绍见附录2)。IOM用来选择是对I/O 还是对MEM进行读写操作,RD=1时为读,WR=1时为写。

实 验 原 理 图2-1-2 读写控制逻辑 实验原理图如图2-1-3所示,存储器数据线接至数据总线,数据总线上接有8个LED灯显示D7…D0的内容。地址线接至地址总线,地址总线上接有8个LED灯显示A7…A0的内容,地址由地址锁存器(74LS273,位于PC&AR单元)给出。数据开关(位于IN单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。 图2-1-3 存储器实验原理图 实验箱中所有单元的时序都连接至时序与操作台单元,CLR都连接至CON单元的CLR按钮。 实验时T3由时序单元给出,其余信号由CON单元的二进制开关模拟给出,其中IOM应为低(即MEM操作),RD、WR高有效,MR和MW低有效,LDAR高有效。

实验三半导体存储器原理实验(精)

实验五半导体存储器原理实验 一、实验目的 掌握静态随机存取存储器RAM工作特性及数据的读写方法。 二、实验内容 1、实验原理 主存储器单元电路主要用于存放实验机的机器指令,如图所示,它的数据总线连到外部数据总线EXD0~EXD7上;它的地址总线由地址寄存器单元电路中的地址寄存器74LS273(U37)给出,地址值由8个LED灯LAD0~LAD7显示,高电平点亮,低电平熄灭;在手动方式下,输入数据由8位数据开关KD0~KD7提供,并经一三态门74LS245(U51)连至外部数据总线EXD0~EXD7,实验时将外部数据总线EXD0~EXD7用8芯排线连到内部数据总线BUSD0~BUSD7,分时给出地址和数据。它的读信号直接接地;它的写信号和片选信号由写入方式确定。该存储器中机器指令的读写分手动和自动两种方式。手动方式下,写信号由W/R`提供,片选信号由CE`提供;自动方式下,写信号由控制CPU的P1.2提供,片选信号由控制CPU的P1.1提供。 由于地址寄存器为8位,故接入6264的地址为A0~A7,而高4位A8~A12接地,所以其实际使用容量为256字节。6264有四个控制线:CS1为第一片选线、CS2为第二片选线、OE读出使能线及WE写使能线。其功能如表所示。CS1片选线由CE’控制(对应开关CE)、OE读出使能线直接接地、WE写使能线由W/R’控制(对应开关WE)、CS2直接接+5V。 图中信号线LDAR由开关LDAR提供,手动方式实验时,跳线器LDAR拨至左侧,脉冲信号T3由实验机上时序电路模块TS3提供,实验时只需将J22跳线器连上即可,T3的脉冲宽度可调。 2、实验接线 ①MBUS连BUS2; ②EXJ1连BUS3; ③跳线器J22的T3连TS3; ④跳线器J16的SP连H23; ⑤跳线器SWB、CE、WE、LDAR拨至左侧(手动位置)。

1计算机组成原理实验3_内存储器部件实验

《计算机原理实验》实验报告3 内存储器部件实验 一、实验结果 (一)存储器读写测试验证 1、用E命令改变内存单元的值并用D命令观察结果 (1)在命令行提示符状态下输入:E 2020↙ 屏幕将显示2020内存单元原值为: 按如下形式键入:2020 原值:2222 (空格)原值:3333(空格)原值:4444(空格)原值:5555↙(2)在命令行提示符状态下输入:D 2020↙ 屏幕将显示从2020内存单元开始的值,其中2020H~2023H的值为: 2222 3333 4444 5555 (3)断电后重新启动教学实验机,用D命令观察内存单元2020~2023的值。 2020H~2023H的值为:0400 BFFF 0000 FFEF 说明了RAM 断电数据易丢失。 2、用A命令输入一段程序,执行并观察结果 (1)在命令行提示符状态下输入: A 2000↙ 屏幕将显示:2000: 按如下形式键入: 2000:MVRD R0,AAAA 2002:MVRD R1,5555 2004:AND R0,R1 2005:RET 2006:↙ (2)在命令行提示符状态下输入: T 2000 ↙

R0的值变为:AAAA T↙ R1的值变为:5555 T↙ R0的值变为:0000 (3)在命令行提示符状态下输入: G 2000 运行输入的程序。 (4)在命令行提示符状态下输入: R ↙ 屏幕显示: R0=0000 R1= 5555 R2= 00BF 二、思考题 1、深入理解内存分成ROM存储区和RAM存储区两部分的理由。 答:ROM是只读存储器的简称,是一种只能读出事先所存数据的固态半导体存储器,其特性是一旦储存资料就无法再将至改变或删除。RAM是随机存储器,存储单元的内容可按需随意取出或存入,且存取的速度与存储单元的位置无关的存储器,这种存储器断电时将丢失其存贮内容,故主要用于存储段时间使用的程序。

计算机组成原理实验报告二半导体存储器原理实验

半导体存储器原理实验 一、实验目的: 1、掌握静态存储器的工作特性及使用方法。 2、掌握半导体随机存储器如何存储和读取数据。 二、实验要求: 按练习一和练习二的要求完成相应的操作,并填写表2.1各控制端的状态及记录表2.2的写入和读出操作过程。 三、实验方案及步骤: 1、按实验连线图接线,检查正确与否,无误后接通电源。 2、根据存储器的读写原理,按表2.1的要求,将各控制端的状态填入相应的栏中以方便实验的进行。 3、根据实验指导书里面的例子练习,然后按要求做练习一、练习二的实验并记录相关实验结果。 4、比较实验结果和理论值是否一致,如果不一致,就分析原因, 然后重做。 四、实验结果与数据处理: (1)表2.1各控制端的状态

2)练习操作 数据1:(AA)16 =(10101010)2 写入操作过程: 1)写地址操作: ①应设置输入数据的开关状态:将试验仪左下方“ INPUT DEVICE ”中的8位数据开关D7-D0 设置为00000000 即可。 ②应设置有关控制端的开关状态:先在实验仪“SWITCH UNIT ”中打开输入三态门控制端,即SW-B=0 ,打开地址寄存器存数控制信号,即LDAR=1, 关闭片选信号(CE ),写命令信号(WE )任意,即CE=1,WE=0 或1。 ③应与T3 脉冲配合可将总线上的数据作为地址输入AR 地址寄存器中:按一下微动开关START 即可。 ④应关闭AR 地址寄存器的存数控制信号:LDAR=0 。 2)写内容操作: ①应设置输入数据的开关状态:将试验仪左下方“ INPUT DEVICE ”中的8位数据开关D7-D0 设置为10101010 。 ②应设置有关控制端的开关状态:在实验仪“SWITCH UNIT ”中打开输入三态门控制端, 即SW-B=O,关闭地址寄存器存数控制信号,即LDAR=O,打开片选信号(CE )和写命令 信号(WE),即CE=0,WE=1。 ③应与T3 脉冲配合可将总线上的数据写入存储器6116的00000000地址单元中:再按一下 微动开关START 即可。 ④应关闭片选信号和写命令信号:即CE=1,WE=0。 读出操作过程: 1 )写地址操作:参考写入操作的写地址操作 2)读内容操作: ①关闭输入三态门控制端,即SW-B=1。 ②地址寄存器存数控制信号(LDAR)任意,不过最好关闭,即LDAR=0 ,防止误按脉冲信号存入数据。 ③关闭写命令信号(WE),即WE=0,打开片选信号(CE),即CE=0,不需要T3脉冲,即 不要按微动开关START。此时00000000地址的内容通过“ BUS UNIT ”中数据显示灯B7-B0 显示出来。 数据2:(55)16 =(01010101)2 写入操作过程: 1)写地址操作: ①设置输入数据的开关状态:将试验仪左下方“ INPUT DEVICE ”中的8位数据开关D7-D0 设置为

实验五存储器读写实验报告

实验五存储器读写实验报告 实验报告 课程名:《计算机组成原理》题目:实验五存储器读写班级:计算机+ 自动化0901班姓名:张哲玮,郑俊飞 《计算机组成原理》实验报告- 1 - 实验五、存储器读写实验 一、目的与要求 (1)掌握存储器的工作特性 (2)熟悉静态存储器的操作过程,验证存储器的读写方法 二、实验原理及原理图 (1)?静态存储器芯片6116的逻辑功能 6116是一种数据宽度为8位(8个二进制位),容量为2048字节的静态存储器芯片,封在24引脚的封装中,封装型式如图2-7所示。6116芯片有8根双向三态数据线D7-D0,所谓三态是指输入状态,输出状态和高阻状态,高阻状态数据线处于一种特殊的“断开”状态;11根地址线A10-A0,指示芯片内部2048个存储单元号;3根控制线CS片选控制信号,低电平时,芯片可进行读写操作,高电平时,芯片保存信息不能进行读写;WE 为写入控制信号,低电平时,把数据线上的信息存入地址线A10-A0指示的存储单元中;0E为输出使能控制信号,低电平时,把地址线A10-A0指示的存储单元中的数据读出送到数据线上。

6116芯片控制信号逻辑功能表 (2).存储器实验单元电路 因为在计算机组成原理实验中仅用了256个存储单元,所以6116芯片的3根地址线A11-A8接地也没有多片联用问题,片选信号CS接地使芯片总是处于被选中状态。芯片的WE和0E信号分别连接实验台的存储器写信号M-W和存储器读信号M-Ro这种简化了控制过程的实验电路可方便实验进行。 存储器部件电路图 (3)?存储器实验电路 存储器读\写实验需三部分电路共同完成:存储器单元(MEM UNIT),地址寄存器单元(ADDRESS UNIT)和输入,输出单元(INPUT/OUTPIT UNIT).存储器单元6116芯片为中心构成,地址寄存器单元主要由一片74LS273组成,控制信号B-AR的作用是把总线上的数据送入地址寄存器,向存储器单元电路提供地址信息,输入,输出单元作用与以前相同。

微机原理实验---存储器的扩展实验

深圳大学实验报告 课程名称:_____________ 微机计算机设计__________________ 实验项目名称:静态存储器扩展实验______________ 学院:_________________ 信息工程学院____________________ 专业:_________________ 电子信息工程____________________ 指导教师:____________________________________________ 报告人:________ 学号:2009100000班级:<1>班 实验时间:_______ 2011.05. 05 实验报告提交时间:2011. 05. 31 教务处制 一、实验目的 1. 了解存储器扩展的方法和存储器的读/ 写。 2. 掌握CPU寸16位存储器的访问方法。 二、实验要求

编写实验程序,将OOOOH H OOOFH共16个数写入SRAM的从0000H起始的一段空间中,然后通过系统命令查看该存储空间,检测写入数据是否正确。 三、实验设备 PC 机一台,TD-PITE 实验装置或TD-PITC 实验装置一套。 四、实验原理 1、存储器是用来存储信息的部件,是计算机的重要组成部分,静态RAM是由MOS 管组成的触发器电路,每个触发器可以存放1 位信息。只要不掉电,所储存的信息就不会丢失。此,静态RAM工作稳定,不要外加刷新电路,使用方便。 2、本实验使用两片的62256芯片,共64K字节。本系统采用准32位CPU具有16 位外部数据总线,即D0 D1、…、D15,地址总线为BHE^(#表示该信号低电平有效)、BLE#、A1、A2、…、A20。存储器分为奇体和偶体,分别由字节允许线BH四和BLE#选通。存储器中,从偶地址开始存放的字称为规则字,从奇地址开始存放的字称为非规则字。处理器访问规则字只需要一个时钟周期,BH即和BLE #同时有效,从而同时选通存储器奇体和偶体。处理器访问非规则字却需要两个时钟周期,第一个时钟周期BH即有效,访问奇字节;第二个时钟周期BLE#有效,访问偶字节。处理器访问字节只需要一个时钟周期,视其存放单元为奇或偶,而BH四或BLE#有效,从而选通奇体或偶体。 五、实验过程 1、按图接线好电路。 2. 编写实验程序,经编译、链接无误后装入系统。 实验部分代码如下: STACK SEGMENT STACK DW 32 DUP(?) STACK ENDS CODE SEGMENT START PROC FAR ASSUME CS:CODE MOV AX, 8OOOH ; MOV DS, AX AAO: MOV SI, OOOOH ; MOV CX, OO1OH MOV AX, OOOOH AA1: MOV [SI], AX

相关主题