搜档网
当前位置:搜档网 › (完整word版)EDA计算器设计大作业

(完整word版)EDA计算器设计大作业

(完整word版)EDA计算器设计大作业
(完整word版)EDA计算器设计大作业

计算器设计

专业:电子信息工程

设计者:

摘要

本文介绍了一个简单的计算器的设计,该设计采用了现场可编程逻辑器件FPGA设计,并基于VHDL语言实现加减乘除功能,并用十进制显示在数码管上。系统由计算部分、输入部分、选择部分、输出部分组成,计算部分为加法器、减法器、乘法器和除法器组成。使用Altera公司的QuartusII开发软件进行功能仿真并给出仿真波形,并下载到试验箱,用实验箱上的按键开关模拟输入,用数码管显示十进制计算结果。通过外部按键可以完成四位二进制数的加、减、乘、除四种运算功能,其结果简单,易于实现。

关键字:VHDL,计算器,QuartusII

目录

一.实验目的 (4)

二、流程图 (4)

三.顶层原理图 (5)

四、各个模块 (6)

(1)加法器模块 (6)

1、封装元件 (6)

2、加法器程序 (7)

3、仿真结果 (7)

(2)减法器模块 (8)

1、封装元件 (8)

2、减法器程序 (9)

3、仿真结果 (10)

4、硬件运行结果 (11)

(3)乘法器模块 (12)

1、封装元件 (12)

2、乘法器的设计思想 (12)

3、乘法器程序 (13)

4、仿真结果 (14)

5、硬件运行结果 (14)

(4)除法器模块 (15)

1、封装元件 (15)

2、除法器设计思想 (15)

3、除法器程序 (16)

4、仿真结果 (16)

5、硬件运行结果 (17)

(5)8位除法器 (18)

1、封装元件 (18)

2、8位除法器设计思想 (18)

3、8位除法器程序 (19)

4、仿真结果 (21)

(6)数码管七段译码电路 (22)

1、封装元件 (22)

2、共阴极七段显示码十六进制转换表 (22)

3、七段译码器程序 (23)

4、仿真结果 (23)

(7)选择模块 (24)

1、封装元件 (24)

2、程序 (25)

五、管脚锁定 (25)

六、小结与收获 (26)

一.实验目的

1、熟悉QuartusII 软件的相关操作,掌握数字电路设计的基本流程。

2、介绍QuartusII 的软件,掌握基本的设计思路,软件环境参数配置,仿真,管脚分配,下载等基本操作。

3、了解VHDL 或原理图设计方法与定制IP 模块的思想。

4、掌握并行加法器,减法器乘法器以及除法器的设计思路及工作原理。

5、设计一个能完成加减乘除功能并以十进制显示结果的简单计算器。

二、流程图

? 当输入为00时输出加法结果 ? 当输入为01时输出减法结果 ? 当输入为10时输出乘法结果 ? 当输入为11时输出除法结果

输入控制功能的数

选择模块

减法模块

乘法模块

除法模块

加法模块

显示结果

十六进制转换成十进制

三.顶层原理图

创新:四个模块输出均为十六进制数,为了输出方便观察,设计了8位除法器,将输出变为十进制数显示在数码管上。

DATAIN[1..0]为输入控制端,通过试验箱上两个拨码开关控制输入。A[3..0]和B[3..0]是两个四位二进制输入数,当DATAIN 为00时进行加法运算,当DATAIN 为01时进行减法运算,当DATA 为10时进行乘法运算,当DATA 为11是进行除法运算。结果用十进制显示三个共阳静态LED 数码管上,除法的余数单独显示在右下角的七段驱动共阳数码管中的一个上。

乘法模块

除法模块

减法模块

加法模块

控制模块

七段数码管

输出个位

输出十位

输出百位

三个八位除法器,把十六进制数转换为十进制

显示除法余数

四、各个模块

(1)加法器模块

1、封装元件

当CLR 为‘1’时清零,输出为零

当CLR 为‘0’时,输入两个四位二进制数,输出两个数之和,S[3..0]为和,S[4]为进位。

CLR A[3..0]B[3..0]

S[7..0]

ADDER4B

inst6

2、加法器程序

3、仿真结果

当CLR为1时,输出为0;当CLR为0时,进行加法运算。S[3..0]为相加后得到的和,S[7..4]为相加后的进位。

4、硬件运行结果

从左到右,前三个数码管为结果,用十进制显示:第一个为百位,第二个为十位,第三个为个位。第五个为加数,第六个为被加数。

(2)减法器模块

1、封装元件

CLR a[3..0] b[3..0]s[3..0]

co

sub4 inst1

设计思想:减去一个数等于加上这个数的补码。对减数求补码,再调用加法器

当CLR为‘1’时清零,输出为零

当CLR为‘0’时,a是四位二进制被减数,b时四位二进制减数。S为相减的结果,co为借位,当co为0时代表a减b是整数,否则为负数或者0。

2、减法器程序

3、仿真结果

调用一个加法程序

CLR为清零,当CLR为1时清零,输出为0;当CLR为0时进行减法运算,a、b为两个四位二进制输入,s为输出,当co为0时,代表s为正,当co为1时代表co为负,当被减数小于减数时,s为相减得到的结果的补码。

4、硬件运行结果

从左到右,前三个数码管用十进制显示结果:第一个代表正负,为0时代表正,为1时代表负。第五个为减数,第六个为被减数。

(3)乘法器模块

1、封装元件

当clr 为‘1’时输出为0

当clr 为‘0’时,a 与b 相乘输出y

2、乘法器的设计思想

用并行相乘的方法。通过开关和键盘,两组分别输入4bit 的数据进行乘法运算时,先求出部分积,即求得二进制数据的乘数和被乘数逐位相乘,之后运用二进制加法进行加和。举例如下,10X9=90:

? --10 × 9 = 90 ? -- 1 0 1 0 ? -- X 1 0 0 1 = ? -- ------------- ? -- 00001010

? -- 00000000 --部分积

clr a[3..0]b[3..0]

y [7..0]

multi4b

inst21

?-- 00000000

?- 01010000

? ---------------------------- ?-- 1011010 =90

?这里加法的结果就是所求结果。

3、乘法器程序

4、仿真结果

clr为清零,当clr为1时进行乘法运算,a、b为两个四位二进制输入,y为十六进制输出。

5、硬件运行结果

从左到右,前三个数码管用十进制显示结果:第一个为百位,第二个为十位,第三个为个位。第五个为乘数,第六个为被乘数。

(4)除法器模块

1、封装元件

当clr 为‘1’时,输出为0。当clr 为‘0’时,a 与b 相除输出s ,s 高四位为商,低四位为余

2、除法器设计思想

? f:=a; g:=b; e:=(others=>‘0’);--f 等于被除数,g 等于除数 ? for i in 1 to 15 loop --e 为商 ? if (f>=g) then f:=f-g; e:=e+1; ? else exit; ? end if; ? end loop;

? s(7 downto 4)<=e;s(3 downto 0)<=f;

? 利用循环的思想设计除法器。S 高四位为商,第四位为余数

clr a[3..0]b[3..0]

s[7..0]

div ision

inst2

3、除法器程序

4、仿真结果

当clr=‘1’时清零,当clr=‘0’时进行除法运算。

a、b为四位二进制数,a为被除数,b为除数,s高四位为商,s低四位为余数。

5、硬件运行结果

从左到右,前三个数码管用十进制显示计算后的商,第一个为百位,第二个为十位,第三个为个位。第五个数码管为除数,第六个数码管为被除数。右下角一个数码管显示运算得到的余数。

(5)8位除法器

1、封装元件

作用:用于把十六进制显示的输出换成十进制显示。

方法:被除数除以10时,余数为个位;得到的商除以10,得到的余数为十位;得到的商再除以10,得到的余数为百位。

2、8位除法器设计思想

? 利用移位减法原理设计除法器

? 首先在被除数前面补7个零,赋值为Remain7,除数后面补7个零,赋值为diver7。若Remain7 大于diver7,则 shang (7)为‘1’,Remain6<= Remain7 - diver7。否则shang (7)为‘0’, Remain6<= Remain7 ;

? Diver6等于diver7左移一位,后面补零。再重复上一个步骤,得出shang (6),……直到得出shang (0)为止

? Remain 是中间与diver 相减的得到的数,diver 保存除数移位后的数;

beichu[7..0]chu[7..0]

shang[7..0]yu[3..0]

Div

inst3

说明:8位除法器不能用四位除法器那循环的方法,因为,如果用循环的方法,由于循环次数太多,在电脑上要运行半个小时以上,不能用。

3、8位除法器程序

《用计算器计算》教学设计

“ “ “ 《用计算器计算》教学设计 教学目标: 1、知识与技能 了解计算器各键的用途与用法,会用计算器进行有理数加、减、乘、除、乘方运算以及 混合运算。 2、过程与方法 通过动手操作、合作与交流,并借助计算器的说明书,自主探究计算器的使用方法,会 用计算器进行有理数加、减、乘、除、乘方运算以及混合运算。 重点、难点: 1、重点:掌握计算器常用功能的使用。 2、难点:熟练运用计算器进行有理数加、减、乘、除、乘方运算。 教学过程: 一、创设情景,导入新课 1、在当今的信息世界中,计算器已成为人们广泛使用的计算工具,它能使我们从繁杂 的运算中解放出来,有更多的时间、精力去做更有意义的活动。 2、计算器按功能可分为简单计算器、科学计算器、图形计算器等。计算器主要由键盘 和显示器组成。 3、本节课我们学习科学计算器的使用方法。 二、合作交流,解读探究 1、科学计算器的常用键盘介绍 (1)运算键:“+”、“-”、“×”、“÷”、“ x y ”分别进行加、减、乘、除、乘方运算。 (2)功能键: AC/ON ”是开启计算器键, DEL ”是清除键, =”的功能是完成运算或 执行指令,“OFF ”是关闭计算器键。 2、科学计算器的简单使用介绍 (1)乘幂运算的输入方法,如计算 28 ,按键“2” “ x y ” “8” “=”。 3 (2)分数的输入,如 3 ,按键“3” “ablc ” “3” “ablc ” “4”。 4 (3)科学计算器能够先乘方、再乘除、最后加减,所以作混合运算时,按键顺序与书 写顺序完全一样。 (4)输入错误时的改正:用左右方向键将光标移到你要改正的位置,按“DEL ”键消除 目前光标键在位置的数字,修改后,再按光标键返回原来的位置。 3、师生互动,操作实践 用计算器器计算下列各题: (1)135+88 (2)211-134 (3)26×14÷4 (4)0.375×(-18)+5 (5) 2 3 + 3 2 ×(-4) (6)23×1 3 5

北师大版7年级数学上册2.12.用计算器进行计算教学设计

第二章有理数及其运算 12.用计算器进行运算 一、学生知识状况分析 在上节课的基础上,学生能够非常有兴趣来学习计算器的使用方法。关键要照顾好不能准确记忆每个键功能的学生,教师及时帮扶,通过动手能力强的学生带动弱势群体来学习本节课知识。 二、教学任务分析 计算器和计算机的逐步普及,对数学教育产生了深刻的影响。因此《标准》强调,“把现代信息技术作为学生学习数学和解决问题的强有力工具,致力于改变学生的学习方式,使学生乐意并有更多的精力投入到现实的、探索性的数学活动中去”。一方面计算器可以使学生从繁琐的纸笔计算中解放出来,也为解决实际问题提供了有力的工具;另一方面,计算器和计算机对学生的数学学习方式也有很大的影响.计算器可以帮助学生探索数学规律,理解数学概念和法则。学生刚学了有理数的运算法则,可以将纸笔计算与计算器计算的结果相对照,因此学好本节内容对于学生的发展起着举足轻重的作用,在探索现实问题和需要进行复杂的运算时,应当鼓励学生使用计算器,慢慢养成像使用纸笔那样使用计算器的习惯。根据本节课的内容及学生的特点,设置教学目标及重难点如下:1经历探索计算器使用方法的过程,了解计算器按键功能,会使用计算进行有理数的加、减、乘、除、乘方运算.掌握按键顺序, 2经历运用计算器探索数学规律的活动,培养合情推理能力,能运用计算器进行实际问题的复杂运算. 3在合作交流的学习过程中,培养合作能力和动手操作的实践能力。 本节课的重点是计算器的使用及技巧。. 本节课难点是难点是运用计算器进行较为繁琐的运算和探索规律,关键是熟练准确的运用计算器进行计算。 三、教学过程分析 本节课设计了五个环节:动手操作掌握运用;例题讲解熟能生巧;尝试练

EDA大作业

信号发生器的设计 要求: (1) 产生方波、三角波、锯齿波、正弦波 (2)产生波形的模式可选 (3)频率为10KHz 设计方案: 1.总体设计思路 1.1 设计步骤 此设计将按模块式实现,据设计要求,设计总共分四大步份完成:(1)产生波形(四种波形:方波、三角波、矩形波和锯齿波)信号;(3)频率为10KHZ 幅度固定; 1.2设计思想 利用VHDL编程,依据基本数字电路模块原理进行整合。系统各部分所需工作时钟信号由输入系统时钟信号得到。总体设计框图如下图1所示: 波形输出

2. 方案论证 2.1方案 采用VHDL语言来编程,然后下载文件到FPGA来实现。VHDL语言是电子设计领域的主流硬件描述语言,具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大降低了硬件设计任务,提高了设计效率和可靠性,要比模拟电路快得多。该方案是利用FPGA具有的静态可重复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改,极大地提高了电子系统设计的灵活性和通用性,设计图如图2。 图2 FPGA总体设计图 (需要修改) 通过FPGA软件扫描方式将波形数据读出传输给DAC0832(为8分辨率的D/A 转换集成芯片㈠ )产生波形输出。这种方法在软、硬件电路设计上都简单,且与 我们的设计思路紧密结合。 3硬件选择 4软件设计 4.1.1波形产生模块 本设计用VHDL语言根据傅立叶函数采集点进行扫描,分别产生正弦波、三角波和矩形波。以下介绍各种常用周期信号的傅立叶函数展开式。 4.1.3正弦波 (1)设计思想 正弦波发生分为两个步骤,即正弦波幅值采样存储和正弦波波形的还原输出。幅值采样是将一个周期正弦波进行64等分,如图3所示,将64个采样点

四年级数学《用计算器计算 》教学设计

用计算器计算 教学内容:教科书第26页例1例2,做一做。练习四的第1、3、4、题。 教学目的: 1.使学生能够利用电子计算器进行简单的计算。 2.使学生知道用电子计算器计算顺序和笔算顺序是一样的。 3.让学生善于观察发现数学的秘密,能够对一些有规律的数进行口算。 教学重点:能够利用计算器进行简单的计算。 教学难点:懂得观察发现一些有规律的数的计算。 教学准备及设计意图: 本节课会用到ppt课件、聚光灯等教学手段,在新授环节使用拉幕功能,在巩固练习环节把ppt和白板合二为一。通过使用以上教学手段,活跃课堂气氛,提高教学效率。 教学过程: 一、利用计算器计算: (出示微视频计算器,使用聚光灯熟悉各个按键的名称和功能) 386+179= 说说你是怎样使用的。 (先按“386”,屏幕上显示386,再按“+”,屏幕显示不变,再按“179”,屏幕显示179,按“=”,显示结果565。) 试试ce键有什么功能?(清除)(出示 自己试试看: 26×39=312÷8= l.你觉得使用计算器需要注意些什么? 看清数,别摁错了;每次计算前要清0。 2.计算。 54+46= 60×2= 198÷49=50+30= 38×79=201+99= 计算后说一说你怎么算的这么快?(并不是任何时候用计算器计算都是最好的,像可以直接口算的、能简算的题目,就不需要使用计算器了。) 3.做一做练习。 让学生在小组内做一做,然后同桌做一做。 二、观察发现 1.比一比,看谁做的又对又快。(巩固练习中使用拉幕依次出示不同组的练习题,便于学生进行每组的比较和总结数的规律) (以四人小组为单位进行) 9999×1=9999×2=9999×3=9999×4= 说说你为什么做的又对又快。

用计算器计算

用计算器计算 教学内容:苏教版第七册100-101页 教学要求: 1、通过生活中购买无物品的现实生活情景,是学生在计算中感受计算器在人们 生活中的作用与价值,激发学生对计算器的学习和使用兴趣。 2、是学生认识电子计算器,知道电子计算器的构成,体会用计算器计算的优越 之处,并学会使用。 教学准备: 教师:电子计算器一台,教科书以及相应的课件。 学生:每人准备一台电子计算器。教科书,文具盒,草稿纸等 教学过程: 一、导入 教师:同学们,认识这个东西吗?(学生回答:认识)知道它叫什么名字?(学生回答:计算器) 师:今天这堂课,我们就来学习《用计算器计算》(板书课题) 二、新授 认识计算器的面板及每种键盘的功能 师:请同学们仔细的观察计算器的面板,你知道计算器的这一部分叫什么吗?(是用手摸计算器的显示器)(学生回答:显示器)(教师板书:显示器) 老师:这部分叫什么吗?(教师用手摸计算器的键盘)(学生回答:键盘)(教师板书:键盘) 老师:,你知道键盘里面有哪些键吗?请同学们仔细观察键盘并和同桌讨论一下每个键的用途。(教师出示计算器给学生观察,学生仔细讨论) (学生依次回答教师整理板书:数字键、运算键和功能键,并说明每种键的基本功能。) 教学例题: 老师:我们已经了解了计算器每种键的功能,那么计算器你会用么?(学生回答:会。)

老师:请同学们用自己手里的计算器,尝试完成p100页两题(38+27、30*18)完成的时候,有三个问题需要你回答一下:1、每次应该怎么依次去按键2、仔细的观察每次按键之后显示的是什么3、计算结果是多少? (出示两道题目和三个问题)(学生独立完成) 老师:哪个同学来讲一讲,刚才你是怎么依次按键的,每次按键之后显示的是什么,计算结果是多少?(指名学生回答) 教师整理(出示):第一道题目,应该依次的按3,显示3,再按8,显示38,再按+,显示38,再按2显示2,再按7,显示27,最后按=,显示计算结果65。同学们同意么?(学生回答:同意)也就是说,按键的时候,是按照什么顺序去按的?(从左往右依次按键。)教师出示(从左往右) 第二道题目教师按照上面的方法让学生独自进行整理回答。 教师:那些同学也是这样按键的,并且也得到了同样的结果?举举手看。(学生举手表示) 教师:很好。第一道题目大家都等于65,第二道题目都等于540。那这个计算器的计算结果到底对不对呢,我们可以怎么办?(学生回答:可以验算一下) 教师:那好,拿出草稿纸,笔算一下这两题。第一道题目等于(65)第二道题目等于(540)口算结果和我们计算器计算的结果是一样的,说明计算器的结果是非常的正确的。 教师(出示笔算和用计算器计算) 教师:刚才我们分别用计算器和笔算的方法,计算了一下这两道题目,你觉得是比算好还是用计算器计算的好?(学生回答:用计算器的好) 为什么呢?(学生回答:用计算器计算非常的方便,只需要去按键就可以了,笔算的速度会比较的慢,而容易出现错误。) 教师总结:以后在遇到计算的时候,如果条件允许的话,我们可以尽量的选用用计算器计算,这样计算的速度会很快而且不容易出现错误。 教师:请同学们完成以下书本第101页试一试的题目。(学生独立完成) 集体订正交流计算结果。 最后的两道题目是怎么去计算的?

EDA技术大作业 全自动洗衣机控制器

《EDA技术》大作业 电子钟电路设计要求: 1、准确计时,以数字形式显示时、分、秒的时间; 2、小时为24进制,分与秒的计时要求为60进制; 3、具有校时功能;、整点报时。4 :姓名:学号武启明1893130125 :班级科学:专业与光电信息131 工程

安徽科技学院数理与信息工程学院物电系 EDA全自动洗衣机控制器 1设计目的 《EDA技术与应用》课程是电子信息工程、自动控制、计算机科学与工程等 专业的技术课之一,具有很强的工程实践性。通过本次课程设计来掌握现代硬件数字电路的软件化设计的基本方法、掌握应用VHDL及EDA 工具开发设计各种电路的基本方法,以及对现代电子设计自动化技术有一定的了解,会把所学的专业知识更好的用到实践中去。 2设计的主要内容和要求 (1)设计一简易全自动洗衣机控制器。该控制器由两大状态A和B组成, 每个状态分三个子状态,每个状态分别由选择A和选择B控制。其中A为步进选择按纽,每步跳转一个子状态、B也为步进选择按纽,但每步选择B中的所有组合中的一种。 (2)过程启动由启动/暂停键控制(暂停键在过程启动后任意时间可暂停/恢复过程)。 (3)过程启动后洗衣机盖开启能任意控制。(4)能设置实现多次洗衣的功能。

3整体设计方案 本次设计大致可以分成两个模块来看待:控制端和工作端。 控制部分使用了三个进程来处理,进程一控制状态COUNT_M,进程二控制状态:当连顺出现一个,两个,COUNT_M,进程三控制开始和暂停。其中,COUNT_N. 三个高电平时分别表示强洗,标准,弱洗三种状态的洗衣过程。再者,COUNT_N:当出现一个,两个,三个高电平时分别表示洗涤,漂洗与甩干,甩干。其次,信号START控制洗衣机的暂停和重新启动。 工作部分使用了一个进程来处理,在该进程中主要处理强制开盖,洗衣的各 个状态。控制端中,中间变量SG是工作结束标志信号, DT是状态的中间变量。COOK为强开洗衣机盖子信号端,DCP输入状态中间信号。LOOK为时间到输出停机,当其输出为高电平时所有数据为0。然后等待下次洗涤始工作,直至再次按下 START 键,又从新开洗衣机正常工作过程。与暂停后的再次启动不同。ST 为时间计数的中间变量。整体设计方案如下:

小学数学人教版四年级上册《用计算器计算》教案(2).docx

小学数学人教版四年级上册 用计算器的计算 第一课时课题:用计算器计算 教学内容:用计算器计算,课本第26、 27 页的内容。 教学目标: 1.了解算术型计算器表面各按键的功能. 2.了解算术型计算器工作的基本过程. 3.掌握算术型计算器的使用方法. 4.培养学生动手操作能力. 教学重点:了解计算器的键盘结构,掌握计算器的使用方法. 教学难点:掌握计算器的使用方法. 教学过程: 一、激发兴趣,引入新课 投影下列题目。 57+26=82-49=12×27=351÷13= 36+47=41-18=26×28=544÷16= 师:哪个同学和老师比赛?看谁做的快? 师:同学们想知道老师为什么做的又对又快吗?这节课老师就把这中间的奥妙告诉你们,相信你们知道后比老师做的还快.这节课我们一起学习电子计算器的使用. (板书课题) 二、讲授新课 (一)计算器的认识 1.师:关于计算器,同学们都知道哪些知识?(学生自由发言) 2.师:大家说的都不错,下面我们请计算器博士给大家介绍一下电子计算器方面的知识.(演示动画“电子计算器”) 3.师:听了计算器博士的介绍,我们对于电子计算器已经有了一些初步的认识,那么如何使用呢?我们继续研究.(学生拿出准备的计算器)4.出示思考题

(1)计算器包括哪些按键? (2)这些按键的功能是什么?(学生通过实验自己验证) 5.汇报结果 (1)哪些是数字键?按下数字键在哪里显示?他们什么关系? 教师任意报数,学生练习输入(教师强调输入要从高位输起) (2)要完成加减乘除运算并显示计算结果,还需要什么键?(四则运算键 和等号键)(板书) 练习 12÷4 (说出过程) (3)A .如果发现输入错误,怎么办?(清除键)(板书) 例如: 9-3, 按键,教师强调:清除键只清除错误输入的4,前边输入的数据仍然保留.B.如果一道题计算完毕,需要计算另一道题,这时应该怎么办? C.如果需要清除前边的所有数据,应该怎么办?为什么? 师:下面我们通过几道四则运算式题,检验一下大家的学习效果. (二)计算器的应用 1.出示例 1:用计算器计算 386+179825-138(板书) 学生自己试做,然后汇报过程.(教师可继续演示动画“电子计算器”,利用其中的计算器进行演示) 教师关键要强调输入数据和使用运算键的方法. 练习: 750+1473=2983-627=32×68= 1548÷43=49×39=17805-3976= 小结:加、减、乘、除的单项运算,只要选择相应的四则运算符号就可以了 2、教学例题 2 出示: 9999×1 9999×2 9999×3 9999×4 (1)独立计算,把结果写出来。

小学四年级数学《用计算器计算》教

小学四年级数学《用计算器计算》教 案范本五篇电子计算器是一种现代计算工具,由于它体积小、运算快、操作简便,已经在各行各业得到广泛的使用。向学生介绍一些简单的计算器的知识,就显得很有必要。下面就是小编给大家带来的小学四年级数学《用计算器计算》教案范本,欢迎大家阅读!教学目标: 知识与技能:在经历操作活动的过程中了解计算器的结构和基本功能;能正确、熟练地运用计算器进行一些简单必要的计算,能运用计算器探索并发现一些简单的数学规律。 过程与方法:在经历操作活动的过程中体验使用计算器计算的优越性,感受使用计算器在生活和工作中的较广泛的应用价值,了解从古到今计算工具的发展历程。 情感态度与价值观:培养学生初步的实践能力、探索意识,发展学生积极参与学习活动的心理倾向,养成自觉、及时验算的意识。 教学重点: 在经历操作活动的过程中初步认识计算器,了解计算器的基本功能。能运用计算器进行一些简单、“必要”的计算。能运用计算器探索并发现一些简单的数学规律。 教学难点: 会利用计算器进行大数目的计算,探索并发现规律。 教学准备: 课件、计算器 教学过程: 一、活动引入

1.师:上课前,让我们来进行一次计算比赛,用你喜欢的方法来完成,把答案写在练习纸上。看谁算得又对又快。开始! ①18+21= ②56÷7= ③3028-2956= ④589×76= ⑤98+199= ⑥12+459+88=2、有的同学为什么会计算得这么快?能向大家介绍一下你的方法吗?小结:看来,在进行像这样的比较繁杂的计算时,我们可以请计算器来帮忙。3、计算器在我们的生活中已经越来越普及了,人们经常会在什么时候使用计算器呢?生活中各行各业都有可能需要使用到计算器,特别是商业中(图片)。除了专门的计算器,有的手表上也有计算器(出示手表)。还有哪里也有计算 器?(电脑、手机、遥控器、电子秤等) 4、师:使用计算器有哪些优点呢?那你想掌握使用计算器的本领吗?(板书课题:用计算器计算) 5、师:你认识计算器吗?先向你的同桌介绍计算器。师:谁愿意当小老师向大家介绍计算器? 二、观察认识 1、整体认识 这是一个常用计算器的面板(出示图片),上面部分是显示器(板书:显示器),下面部分是键盘(板书:键盘) 2、认识键盘 (1)观察一下,这个键盘上的哪些键你已经认识了?上来指给大家看看。 (2)互动生成 ①有0、1、2、3、4、5、6、7、8、9这些有数字的键叫数字键,(板书:数字键),自己指一指你计算器的数字键。 ②有+、-、×、÷这些运算符号的键叫运算符号键,(板书:运算符号键)。自己指一指你的运算符号键。

(完整word版)EDA计算器设计大作业

计算器设计 专业:电子信息工程 设计者:

摘要 本文介绍了一个简单的计算器的设计,该设计采用了现场可编程逻辑器件FPGA设计,并基于VHDL语言实现加减乘除功能,并用十进制显示在数码管上。系统由计算部分、输入部分、选择部分、输出部分组成,计算部分为加法器、减法器、乘法器和除法器组成。使用Altera公司的QuartusII开发软件进行功能仿真并给出仿真波形,并下载到试验箱,用实验箱上的按键开关模拟输入,用数码管显示十进制计算结果。通过外部按键可以完成四位二进制数的加、减、乘、除四种运算功能,其结果简单,易于实现。 关键字:VHDL,计算器,QuartusII

目录 一.实验目的 (4) 二、流程图 (4) 三.顶层原理图 (5) 四、各个模块 (6) (1)加法器模块 (6) 1、封装元件 (6) 2、加法器程序 (7) 3、仿真结果 (7) (2)减法器模块 (8) 1、封装元件 (8) 2、减法器程序 (9) 3、仿真结果 (10) 4、硬件运行结果 (11) (3)乘法器模块 (12) 1、封装元件 (12) 2、乘法器的设计思想 (12) 3、乘法器程序 (13) 4、仿真结果 (14) 5、硬件运行结果 (14) (4)除法器模块 (15) 1、封装元件 (15) 2、除法器设计思想 (15) 3、除法器程序 (16) 4、仿真结果 (16) 5、硬件运行结果 (17) (5)8位除法器 (18) 1、封装元件 (18) 2、8位除法器设计思想 (18) 3、8位除法器程序 (19) 4、仿真结果 (21) (6)数码管七段译码电路 (22) 1、封装元件 (22) 2、共阴极七段显示码十六进制转换表 (22) 3、七段译码器程序 (23) 4、仿真结果 (23) (7)选择模块 (24) 1、封装元件 (24) 2、程序 (25) 五、管脚锁定 (25) 六、小结与收获 (26)

“用计算器计算”教学设计

“用计算器计算”教学设计 【教学内容】:义务教育课程标准实验教科书数学四年级(上册)第100—101页 【教学目标】: 1、让学生初步认识计算器,了解计算器的基本功能,会使用计算器 进行大数目的一两步连续运算,并通过计算探索发现 一些简单数学规律。 2、让学生体验计算器计算的方便与快捷,进一步培养对数学学习的 兴趣,感受计算器在人们生活和工作中的价值。 【教学重点、难点】:通过计算发现一些简单的数学规律。 【教学准备】:课件、练习纸、计算器 【教学过程】: 1、游戏导入,激发兴趣。 谈话:同学们,你们玩过“快乐联想”的游戏吗?还想玩吗? 课件依次出示四个提示 提示一提示二提示三提示四 完美基督教医院三三两两 师:你能想到什么? 生1:我猜是十字架。 生2:我想可能是……。 出示提示四 生3:我猜是十。 答对的同学,给予肯定。 师:还想玩吗? 课件依次出示提示 提示一提示二提示三提示四 知错能改小巧学习用品计算工具 生1:我猜是橡皮 生2:我也认为是橡皮。 出示了提示四后 生3:计算器。 表扬答对的同学。 今天我们来学习用计算器计算。 课件出示课题,并板书。

2、自主探究,解决问题。 1、认识计算器。 同学们,你们在哪里见过计算器?(根据同学回答,依次出示课件中的图片) 表述:看来计算器已经深入我们生活中。 瞧,老师手中就有一个计算器,你们观察过计算器吗?看老师手中的计算器,你们看到了什么?(根据学生回答,依次板书数字键、符号键、功能键、键盘、显示器) 指出:有些功能键由于我们所学知识有限,现在还不需要用,今后我们可以再慢慢认识它们。 2、认识开机键、关机键。 用计算器前,先按什么键?(ON键,根据学生回答指出开机键) 用完后呢?(OFF键,指出关机键) 3、尝试用计算器计算。 有多少同学会用计算器?真会?那我们来“试着瞧瞧”。 (课件出示 38 + 27 = 30×18 = ) 指名说第一题计算过程。 师:你是怎么输入的? (先输入3和8,再输入加号键,输入3和7和等号键,等于65。) 追问:想知道得数,需要输入什么键?(等号键) 指出:算完后,我们可以口算或者笔算验算计算结果。 4、用计算器计算“试一试”。 看来同学们都会使用计算器计算了,让我们再显身手,拿出计算器和学习工具。把得数写在练习纸上。 (课件出示书上第101页的“试一试”) 交流得数 师:你有什么感受?(计算器计算的便捷,是我们的好帮手) 重点讲解“816× 68 ÷ 27 ”是怎样输入的? 5、“比一比”用计算器计算 (课件出示题目) 师:刚才同学们表现的都非常出色,我们来一次计算比赛如何? 问:你为什么这么快?(因为有几题可以口算的) 师:其它同学看出来了吗?是哪几题呢?(25 ×4 128 ×8 618×0×625) 小结:是啊,能口算的就不需要使用计算器了。 6、解决实际问题

初中数学七年级数学上册第一章有理数1.12计算器的使用作业设计含解析新版冀教版0808151.docx

xx学校xx学年xx 学期xx试卷 姓名:_____________ 年级:____________ 学号:______________ 题型选择题填空题简答题xx题xx题xx题总分得分 一、xx题 评卷人得分 (每空xx 分,共xx分) 试题1: 下列说法正确的是() A.用计算器进行混合运算时,应先按键进行乘方运算,再按键进行乘除运算,最后按键进行加减运算 B.输入﹣5.3的按键顺序是 C.输入1.58的按键顺序是 D.按键能计算出(﹣3)2×2+(﹣2)×3的值 试题2: 用计算器求25的值时,按键的顺序是() A. B. C. D. 试题3: 用完计算器后,应该按() A. B. C. D. 试题4:

用操作计算器的方法计算(3.1×105)×(7.6×108),按的第5个键是() A. B. C. D. 试题5: 用计算器计算124×,按键的顺序为() A.12x y4×1ab/c1ab/c5= B.124x y×1ab/c1ab/c5= C.12x24×1ab/c1ab/c5= D.124x2×1ab/c1ab/c5= 试题6: 下列说法正确的是() A.用计算器进行混合运算时,应先按键进行乘方运算,再按键进行乘除运算,最后按键进行加减运算 B.输入0.58的按键顺序是 C.输入﹣5.8的按键顺序是 D.按键能计算出(﹣3)2×2+(﹣2)×3的值 试题7: .在计算器的键盘中,表示开启电源的键是() A.OFF B.AC/ON C.MODE D.SHIFT 试题8: 用操作计算器的方法计算(205)2,第5个按键是() A. B. C. D. 试题9: 小华利用计算器计算0.000 000 129 5×0.000 000 129 5时,发现计算器的显示屏上显示如图的结果,对这个结果表示正确的解释应该是()

用计算器计算 教学设计

用计算器计算 教学内容 苏教版《义务教育课程标准实验教科书数学》四年级(上册)第100~101页。 教学目标 1. 在具体的活动中了解计算器的结构和基本功能,能正确地运用计算器进行较大数目的一、两步式题的计算。 2. 能运用计算器探索一些基本的数学规律,解决一些简单的实际问题。初步感受应根据计算的需要灵活确定不同的计算方式。 教学过程 一、谈话导入 谈话:在电视里,我们经常会看到一种游戏,叫“魅力联想”,看过吗?想不想玩这个游戏?(依次展示下列各项,得出答案是“算盘”) 提问:还想玩吗?(把“提示三”中的内容改为“诞生于上世纪”,把“提示四”的内容改为“很轻,可握在手中”,得出答案为“计算器”) 揭题:本节课,我们就来学习“用计算器计算”。 谈话:了解计算器吗?你在哪儿看到过计算器?把你知道的与同桌交流。 投影出示计算器模型,引导学生说出计算器上主要键的名称及功能。 提问:你还知道哪些关于计算器的信息? 二、初试本领 谈话:会使用计算器吗?我们先来“初试本领”。注意,看谁算得又对又快。准备好身边的学习用品,开始! 投影出示: 计算下列各题: ① 1 256 - 768 = ② 477 × 167 = ③ 32 ÷ 4 = ④ 36 × 99 ≈ 交流计算结果。 小结:为什么有的同学计算得这么快呢?能把你的经验与大家一起分享吗?(交流并得出:在计算时,能口算或要求估算时,不需要使用计算器) 三、再显身手 谈话:看来,大家对计算器的使用真的比较熟练。想不想“再显身手”?请看这组题: ① 438 × 15 - 1 274 ② 2 940 ÷ 28 + 763 ③ 40 000 - 165 × 182 ④ 25 120 ÷(449 - 289) 提问:这四道题与上面四道题相比,有什么不一样?会做吗?请试一试。 学生独立用计算器计算。 交流计算结果(学生的答案可能出现不一致的情况)。 引导:你有没有感觉到这四道题的计算过程不一样?(第③、④题要先算后一步,而①、②两题只要按顺序计算就行了)

四年级数学下册用计算器计算(附答案)

四年级数学下册用计算器计算 姓名:__________ 一、不夯实基础,难建成高楼。 1. 用计算器计算下面各题。 258+1409= 5200-2689= 3254×268= 235×68÷34= 8906-473+2170= 7575÷25= 356+148= 1752-986= 3002×152= 4872÷24= 38×9306= 7504+2496= 2. 75+76+77+78+…+97+98的和是( )。 3. 从1000里连续减去5个98,结果是( )。 4. (1)3060――→÷45 ――→+889 ――→÷33 (2)225――→×84 ――→÷25 ――→÷27 (3)870――→×46 ――→÷23 ――→×135 ――→÷45 (4)9893――→-8436 ――→×13 ――→-8941 ――→÷500 二、重点难点,一网打尽。 5. 用计算器分别算出每组中各题的积,再找一找各组题的规律,然后按这个规律直接在横线上写数。 1 11×11= 111×111=1111×1111= × = 2 67×67= 667×667= 6667×6667= × = 6. 一个果园栽了425棵桃树,又栽了756棵梨树。如果一年每棵桃树可收桃105千克,每棵梨树可收梨90千克,这个果园一年可收桃和梨各多少千克?新 课 标 第 一 网 7. 垃圾填埋不仅占用大量土地,而且给周边环境、土壤带来二次污染。东海市新建的垃圾处理厂日处理垃圾能力达到1200吨,每天可发电1800千瓦时。试计算该垃圾发电厂2012年将处理垃圾多少万吨?发电多少千瓦时?(用计算器计算,结果保留整数。)

西电EDA大作业多功能彩灯

EDA设计报告彩灯控制器 (老师:宗汝) 班级: 学号: 姓名:

一.设计要求 设计能让一排灯(8只)自动改变显示花样的控制系统。可将实验板上的一排发光二极管作为彩灯用。控制器应有两种控制方式: ◆规则变化。变化节拍有0.5秒和0.25秒两种,交替出现,每种节拍可有8种花样,各执行一或二个周期后轮换。 彩灯变化方向有单向移动,双向移动,跳跃移动等。 ◆随机变化。变化花样相同,但节拍及花样的转换都随机出现。 二.设计方案 (1).分频模块。要产生快慢两种节拍,则首先需要有分频器模块,0.5秒和0.25秒两种则可选择四分频和八分频。通过按键进行选择切换。则clk为输入时钟信号,需经分频器分频并输入到LED显示电路;clr为复位清零信号,高电平有效,有效时,电路无条件的回到初始状态;OPT为频率快慢选择信号,低电平节奏快,高电平节奏慢; (2)LED显示模块。经过分频的时钟信号输入LED显示电路中,使电路有规律的输出按照设定的各种花样变化。xuan为选择彩灯变化花样信号,便于改变彩灯花样。而最后就是输出彩灯变化花样led。 三.系统程序设计 分频器模块: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FENPINQI is port( clk:in std_logic; clr:in std_logic; opt:in std_logic; clkout:out std_logic ); end FENPINQI; architecture arc of FENPINQI is signal clk_tmp: std_logic; signal counter: std_logic_vector(1 downto 0); begin process(clk,clr,opt) begin if clr='1' then --清零 clk_tmp<='0'; counter<="00"; elsif clk'event and clk='1' then

四年级上册用计算器计算教学设计.doc

用计算器计算 教学内容:四年级上册第26 页例 1 例 2,做一做。 教材分析:例题中只呈现加减法计算的例子,按键数字和屏幕显示的结果对应出现;乘除法 式题要由学生自己尝试操作。在用计算器进行大数的运算的同时让学生探索计算的规律,把计算 和探索规律有机地结合在一起,既让学生学习了用计算器计算的方法,又激发了学生探索数学奥 妙的兴趣,还是培养学生观察、推理能力的直接途径。 教学目标: 1.使学生能够利用电子计算器进行简单的计算。 2.使学生知道用电子计算器计算顺序和笔算顺序是一样的。 3.让学生善于观察发现数学的秘密,能够对一些有规律的数进行口算。 教学重点:能够利用计算器进行简单的计算。 教学难点:懂得观察发现一些有规律的数的计算。 教学过程: 一、利用计算器计算 1、师:谁会使用计算器计算 学生介绍使用方法:按on/c 键,显示: 0 输入题目,按 =键,显示结果,再按on/c 键,清屏。 2、出示 :386 + 179=,学生尝试使用计算器计算。 说说你是怎样使用计算器计算的 (先按“ 386”,屏幕上显示 386,再按“+”,屏幕显示不变,再按“ 179”,屏幕显示 179,按“=”,显示结果565。) 试试 CE键有什么功能(清除) 3、自己试试看:26×39=312÷8= 4、你觉得使用计算器需要注意些什么 看清数,别摁错了;每次计算前要清屏。 5、计算。 765+ 469=589×76=3208-2965= 625÷ 25=6848-579+386= 再计算。 946× 57×0= 100÷ 5=

3028-2965= 估算: 99+199≈ 算后一你是怎么算的你有什么想和大家的 (并不是任何候用算器算都是最好的,像可以直接口算的、能算的目,就不需要 使用算器了。) 6、看算的快,一。 7、做第 26 的“做一做”。 学生在小内做一做,然后同桌做一做。 二、察 1、比一比,看做的又又快。(以四人小位行) 9999×1=9999×2=9999×3=9999× 4= 2、察上面的算式和果,你什么律 :根据你的,能不用算器,直接写出下面各的答案 9999×5=9999×7=9999×9= :碰到 9999 乘 9 以内的自然数( 0 除外)答案都是五位数,最高位和个位就是自然数与9 的乘,中三位数都是 9。 3、完成第 27 的“做一做”。三、 (一)基 1、用算器探索律 1111111×1111111= 2、神奇的 198。 321-123= 654-456= 987-789= 951-753=357-159= 9856-9658= 8745-8547= 5412-5214= (二)巩固 1、走生活,解决。 :在我来研究一个非常有价的。 一个没有关的水,每天大滴12 千克的水,些水就被白白地流掉了?? ◆照算,一个没关的水一年( 按 365 天算 ) 要浪 ______千克。 ◆把些水装在水桶中( 每桶按 20 千克算 ) ,些水大能装 ______桶。 ◆如果一个三口之家每月用 6 桶水,些水用 ______个月,合 ______年。

用计算器计算(教案)

课题:用计算器计算 教学内容:三年级下册第48—51页内容 教学目标: 1、在运算中了解计算器的结构和基本功能;能正确、熟练地运用计算器进行一、两步的式题运算。 2、能运用计算器解决一些简单的实际问题,探索一些基本的数学规律。 3、培养观察、比较、分析、归纳、概括等能力。 教学过程: 一、尝试运用 师:开学到现在,我们一直在学习计算,下面这些题,哪些你一眼能看出来答案的,直接说的得数。 1、初步尝试 90+56= 45×99≈ 87546—3469= 42×30= 2102÷30≈ 43×365= 师:最后两道看来有困难,列竖式算算。 师:先不报答案,要你自己检验做的对不对,你准备怎么样?试一试用计算器来验算,你们会吗? 师:谁愿意带上你的竖式计算上来展示意下,向大家演示一下你用计算器验算的过程可以吗?(鼓励和表扬) 师:看来,大家还真的会用计算器!想不想“再显身手”? 2、再次尝试:探索用计算器进行混合运算的方法 ①546×28-4276 ②2940 ÷28+763 ③15021-87×99 ④25120÷(449-289) (1)这4题与上面4题相比,有什么不一样?会做吗?请试一试。 (2)交流操作方法。 (3)你有没有感觉到这4道题在计算过程中有什么不一样? (4)用计算器计算③、④该怎么操作呢?我们以第③题为例,谁来介绍介绍?

(突出“记住中间数”、“使用MR键”、倒减等方法。) (①、②两题只要按顺序依次输入,③、④题要先算后一步,③④可以“记住过程得数”,③还可以倒减等) (5)介绍用存储键计算,尝试用“MR键”计算③④题。 二、解决生活问题 师:通过这几道题计算,你感觉计算器怎么样?你们喜欢用计算器吗?下面我们就发挥计算器的作用,用它来完成一个非常有价值的问题。 1、出示:一个水龙头滴水的动态画面。据统计一个没有关紧的水龙头,每天大约滴18千克的水,这些水就这样白白流掉了。 (1)照这样计算一年(按365天计算)要浪费多少千克水? (2)把这些水分别装在饮水桶中(每桶约重15千克)算算大约能装多少桶? (3)你家每月用几桶水?算算这些水够你家用几个月?大约合多少年? 师:目前我国西南大旱,一些地区粮食因为缺水绝收。云南山区的孩子们喝脏水解渴。联系我们刚才的这些计算数据,你想到什么? 三、探索计算规律: 师:既然人们发明了这么好的计算器,我们就应该更好地运用它。让我们来挑战一下自己,探索计算的规律好不好? 1、找出规律后再填写每组的后2题得数,并用计算器检验。 19+9×9= 118+98×9= 1117+987×9= 11116+9876×9= 111115+98765×9= 学生汇报自己的发现。按这样一种规律写下去,下一题该是什么样的? 2、自己探索规律。 1122÷34= 111222÷334= 11112222÷3334= …… 111…1222…2÷333…34= 2001个1 2001个2 2000个3

EDA大作业 课程设计 简易计算器

在掌握常用数字电路功能和原理的基础上,根据EDA技术课程所学知识,利用硬件描述语言Verilog HDL、EDA软件Quartus II和硬件平台Cyclone/Cyclone II FPGA进行电路系统的设计。本次实验我完成的内容是简单计算器的设计,下面我简单的进行一下原理的阐述。 设计一个简单计算器,输入为8位二进制数,分别用两位数码管显示,输出的计算结果为16位二进制数,并用四位数码管显示,能够实现+、-、 *、/ 四种运算,其中除法的结果显示分为商和余数两部分,分别用两位数码管显示。为了完成要求的效果显示,我先设计了一个简单的四则运算器,为了使其结果能清楚的看到,所以计算器模块和一个7段数码管模块连接。实验要求,输入分别用两位数码管显示,输出用四位数码管显示,所以用一个3—8译码器和数码管连接,通过开关控制,形成动态显示。从左向右,依次是第一位数码管显示a的高四位,第二位数码管显示a的低四位;第三位数码管显示b的高四位,第四位数码管显示b的低四位;第五位数码管到第八位数码管显示输出的结果。通过改变时钟,使其看起来像同时显示在数码管上。

设计流程如下图,分别用两个数码管表示八位二进制数,用一个case 语句表示输入数值采用哪种运算方式,分别用00,01,10,11表示加,减,乘,除。用3—8译码器选择从哪个数码管输出。 硬件流程图 输出结果 A. B 的显示 软件流程图 LED 灯接线部分显示: 中心控制 复位 编码 数码管输出 输入A 输入B 运算选择C 输出out L E D 8 L E D 7 L E D 6 L E D 5 L E D 4 L E D 3 L E D 2 L E D 1

小学四年级数学用计算器计算教学设计

用计算器计算教学设计 四年级数学教案 教学目标 1:让学生初步认识计算器,了解计算器的基本功能,会使用计算器进行大数目的一两步连续运算,并通过计算探索发现一些简单的数学规律。 2:让学生体验用计算器计算的方便与快捷,进一步培养对数学学习的兴趣,感受计算器在人们生活和工作中的价值。 教学重点:了解计算器的基本功能,会使用计算器进行大数目的一步和只有同一级运算的两步式题。 教学难点:通过计算发现一些简单的数学规律 教学准备:课件,计算器 教学过程: 一:认识 示计算器图片,这是什么? 对了,它就是计算器,今天我们就一起来用计算器计算。(板书) 谁来说说看日常生活中你哪里见到过计算器?它有什么作用? 既然日常生活中计算器已经被广泛的应用了,菜场啊,商店啊等等,都用到了计算器,现在我们每个同学手里都有一个计算器,谁来给大家介绍介绍你的计算器呀? 媒体介绍

各种不同的计算器,它的功能和操作方法也是不完全相同,因此在使用之前一定要先看说明书,现在我们的计算器都是关着的,那大家知道怎样开吗?现在请你把计算器开开。 二、尝试,你会用计算器计算吗 (1)师:刚才我们一起认识了计算器,那么你会用计算器吗?那行,考考你自己,看看你是不是真的会用计算器。准备好了吗? (2)课件逐一出示: 38+27= 得数是多少?谁来汇报?问:老师发现有的同学输入的时候比较快,有的同学比较慢,谁来说说怎样才能用计算器时输入得又快又正确? 强调:把整个数看完以后一起按键,简单的题目可以将整个题目记下来后输入。这样不但又快又正确而且不容易遗漏数字。接下来我们做第2题,但是显示屏上还留着第1题的数字怎么办啊?(清零,有的同学的计算器是0键,有的同学的计算器是ac键)下面我们做第二题,看看用刚才介绍的方法是不是能让我们做得又对又快。 30×18= 汇报得数。 765+469-296= 谁来说说,这道题你是怎么用计算器计算的?请生示范。 指出:我们在做3个数相加减的时候,只要按一个等号,从左往右算的题目,只要按运算顺序按键。 41600÷128= 问:输错怎么办?要全部清除掉吗?生介绍自己的方法,指出可以按ce(c)或者del键后重新输入正确数据,师演示。 现在你会操作计算器了吗?

使用计算器计算

使用计算器计算 ——一步计算(1) 教学目标: 1、能够使用简单计算器进行较大数的加、减、乖、除等基本运算。 2、能够使用计算器对计算结果进行验算。 教学重点: 1、会使有简单计算器进行较大数的加、减、乖、除基本运算。 2、会用计算器对计算结果进行验算。 教学准备:多媒体课件、学生自备计算器 教学过程: 一、情景引入: 出示计算器,问学生它可以用来干什么? [创设问题情境,让学生明白今天学习的是会使用计算器计算。从而使学生注意力集中。] 二、探究: 1、媒体出示(题1):问怎样使用计算器计算2587+9604? ①学生读题,理解题意。 ②学生思考2587+9604在计算器上按键的顺序。 ③学生反馈:学生说按键的顺序,教师写在黑板上。 8 7 0 4 = ⑤黑板上出示题目,学生操练。 14596+37625= 8848+7653= 7248+50879= 2、媒体出示(题2):问怎样使用计算器计算80738-31927? ①学生读题,理解题意。 ②学生思考80738-31927在计算器上按键的顺序。 ③学生反馈:学生在书本P51上把按健的顺序写出来。 ④利用多媒体上的计算器让学生进行演示。 ⑤黑板上出示题目,学生操练。 4758-3169= 8726-4698= 32768-18893=

3、小结 在加、减法中只要按照算式中从左到右的顺序依次按键,就能够得到正确的结果。 4、多媒体出示(题四): ①让学生读题,理解题意,并列出算式。 ②思考并写出30240÷36计算器上按键的顺序。(一生在黑板上写出) ③让学生在自己的计算器上进行计算30240÷36 [通过学习利用计算器来解决实际生活中的问题,使学生体会计算器在实际生活中的广泛应用,并能根据实际问题的需要灵活使用计算器。 三、巩固练习 出示8×4728= 657×87= 69×148= 1427×18= 156×243= 237×41= 22638÷98= 7448÷76= 17216÷32= 32768-4698= 14596+50879= 4759-3169= [在学生学会必要的知识后,及时让学生解决实际问题,既起到了巩固知识、应用知识的作用,又有利于学生体会数学的价值,提高学习数学的热情。] 四、总结: 师:今天,你有哪些收获? 在计算器上按键时要注意什么? [引导学生回顾总结本节课重点]

相关主题