搜档网
当前位置:搜档网 › 微波炉系统设计

微波炉系统设计

微波炉系统设计
微波炉系统设计

1 选题的目的和意义

1.1 选题的背景

在现代人快节奏生活中,微波炉已成为便捷生活的一部分。随着控制技术和智能技术的发展,微波炉也向着智能化、信息化发展。而现有市售的微波炉其主要弊端为:不能按既有程序进行烹调,需要使用者根据食物的类型、数量、温度等因素去设定微波炉的工作时间,若设定的工作时间过长,含水分较多的食物可能会产生过热碳化的现象,若时间过短则达不到预期的烹调效果。不仅在节能方面未做过多考虑,使用者还需要经常翻看使用说明书才能完成操作过程。针对这些问题,笔者认为有必要研制一种操作简单且烹调效果好的微波炉,根据一些家常菜按固定程序烹调的现象,可采取分时、分档火力加热,节时又节能。

1.2 设计的目的和意义

目前大部分微波炉控制器采用单片机进行设计,电路比较复杂,性能不够灵活。本设计采用先进的 EDA 技术,利用 VHDL 设计语言,设计一种新型的微波炉控制器。该控制器具有系统复位、状态控制、时间设定、火力档位选择、烹饪计时、温度控制、显示译码和音效提示等功能,基于 FPGA 芯片实现。

该微波炉控制系统,除实现常规的解冻、烹调、烘烤的基本功能外,还进行了创新设计,实现了微波炉的自定义设置。

本系统控制部分以 FPGA 芯片为核心,通过功能按键设置和手动数据输入,完成不同功能时自动以预置方案或者自定义方案加热。其

中,预制方案提供烹调、烘烤、解冻等系统烹调流程,仅供用户选择,无需设置;而自定义方案,用户根据食物含量、重量等手动设置时间、温度和选择火力等操作。在烹饪过程中,能通过数码管显示或者指示灯提示知道食物的成熟度,可以智能控制。

该系统在功能执行时,能实现门开关检测、键盘输入扫描、温度控制、LED 显示、工作状态指示、蜂鸣等。

1.3 选题的技术现状

目前大部分微波炉控制器采用单片机进行设计,电路比较复杂,性能不够灵活。本文采用先进的 EDA 技术,利用 Quartus II 工作平台 VHDL 设计语言,设计一种新型的微波炉控制器系统。该系统用VHDL 编程实现各底层模块的功能,顶层设计用图形输入完成。该系统具有系统复位、时间设定、烹饪计时、温度控制和音效提示等功能,在 FPGA 上实现。

2 题目的主要内容

本课题是基于 FPGA 的微波炉控制器设计,即设计一个具备定时、温控、信息显示和音响效应提示功能的微波炉控制器,实现一些功能:·该微波炉控制器能够在任意时刻取消当前工作,复位为初始状态。

·可以根据需要设置烹调时间的长短,系统最长的烹调时间为 59 分 59 秒;开始烹调后,能够显示剩余时间的多少。

·可以根据需要设置烹调最高温度值,系统最高的烹调温度为999℃;开始烹调后,能够显示系统当前温度值。

·可以控制火力大小,供选择的火力档位有高、中、低三个火力档位。

·音响效应提示直接外接一个蜂鸣器,同时用一个指示灯提示。

·显示微波炉控制器的烹调状态。

2.1 设计的总体结构描述

本系统主要由输入、控制和显示部分组成。输入部分主要完成用户对控制功能的设置,采用按键作为输入设备。控制部分是本系统的核心,它接收用户的输入,完成相应的控制逻辑功能,并将当前的工作状态等信息送到显示部分。显示部分主要监视系统工作状态并提示用户进行控制操作。

以下是该系统功能模块图,如图 2.1 所示

图 2.1 系统功能模块图

2.1.1 设计的各个功能模块描述

(1)输入模块

输入模块主要完成用户对控制功能的设置,采用按键作为输入设备。

由于实验室已有设备限制,本系统采用键盘进行输入设置,即由一个 44 矩阵键盘实现数据输入控制。该矩阵键盘上16 个按键分别是: 10Min、 1Min/100℃/High、10Sec/10℃/Middle 、1Sec/1℃/Low、▲/1 、复位、暂停/取消、测试、▼/-1 、火力设定、温度设定、时间设置、烹调、烘烤、解冻、开始/确认。输入模块包括时钟脉冲电路、键盘扫描电路、消枓同步电路和键盘译码电路,通过该模块将扫描得到的按键值送到控制模块。

(2)控制模块

控制模块是本系统的核心,它接收用户的输入,完成相应的控制逻辑功能,并将当前的工作状态等信息送到显示部分。

控制模块采用 FPGA 芯片作为主控核心,完成许多复杂的控制和数据处理任务。它通过输入模块提供的按键输入实现数据信息装载处理,并将处理结果通过显示模块显示出来。其涉及到数据的装载、状态转换控制、烹饪计时、温度控制、火力控制、音响效应提示等。

(3)显示模块

显示模块主要监视系统工作状态并提示用户进行控制操作。采用七段数码管和发光二级管来实现。

由于数码管显示信息较少,一些信息用数码管显示不够直观,因此本系统在采用数码管显示的同时,还用发光二极管作为辅助显示。其中,用七段数码管作为时间、温度、火力大小显示,用发光二极管作为状态提示显示。具体设计时,采用 4 位 LED 数码管显示加热倒计时,3 位 LED 数码管显示当前温度值,1 位 LED 数码管显示当前火力档位。8个状态提示指示灯分别表示:工作状态、开门指示、测试、烹调、烘烤、解冻、意外报警、完成提示。

2.2 设计的预期结果

系统预计操作流程:上电后,系统首先处于一种复位状态,其各电路模块均处于初始状态。此时,8 个数码管上会显示“88888888”的信息,所有指示灯亮。按 TEST 键,数码管和发光二极管全亮、全灭交替闪烁,可以测试数码管和指示灯工作是否正常。系统工作时,首先通过键盘输入数据,比如,按烹调、烘烤、解冻键选择系统预置方案,或者按时间设置键设置时间,按温度设定键设置温度,按火力选择键选择火力,结合 10Min、1Min/100℃/High 、10Sec/10℃

/Middle 、1Sec/1℃/Low 按键进行自定义方案设置,设置结束以后,表示数据装载完成,按 START 键后系统进入烹调状态。在烹饪过程中,可以按暂停/取消键暂停烹饪,或者重新设置时间、温度、火力。烹饪结束后,系统会发出音效提示,同时,系统自动进入复位状态。

其对应的系统流程图如下:

图 2.2 系统流程图

其相应的键盘控制布局如下所示:

图 2.3 系统控制键布局图

通过预期,该微波炉控制器设计能够达到多功能多档位火力控制、能够进行时间预置、加热倒数计时、温度控制、显示测试以及结束时音效提示等功能。

3 设计的技术路线

3.1 开发工具和开发环境

硬件:PC 机、便携式 EDA/SOPC/DSP 实验系统

软件:Quartus II 7.0 开发系统

3.1.1 设计的思路与方法

(1)输入模块

输入模块采用 44 矩阵键盘作为输入设备,实现数据输入控制。矩阵键盘是一种常见的输入装置,在日常生活中,矩阵键盘在计算机、电话、手机、微波炉等格式电子产品上已经被广泛应用,计算机键盘通常采用行列扫描法来确定所按下键的行列位置。由于键盘按键是一种机械开关,所以设计其控制电路时,需要涉及到键盘扫描、键盘译码,光靠矩阵键盘是无法完成按键输入工作的。其中键盘扫描又涉及到时序产生、按键扫描和消除抖动。

(2)控制模块

控制模块是整个微波炉控制器系统的核心,完成许多复杂的控制和数据处理任务,它通过输入模块提供的按键输入实现数据信息装载处理,控制显示模块显示相应的信息。

控制模块采用 FPGA 芯片作为主控芯片,其涉及到数据的装载、状态控制转换、烹饪计时、温度控制、火力控制、音效提示等。其中,状态控制转换子模块,其功能是控制微波炉工作过程中的状态转换,并发出相关控制信号。数据装载子模块,其功能是根据按键信号设置定时时间、最高温度、火力档位,烹调属性设置以及烹调数据信息装载。烹饪计时子模块,其功能是对时钟进行减法计数,提供烹调完成时的状态信号。温度控制子模块,其功能是在食物烹饪过程中进行温度测定和控制,它同时实现火力控制。音效控制子模块,其功能是控制微波炉工作时的音效提示,这里直接外接一个蜂鸣器实现该功能。

其功能子模块图如下,图 3.1 所示:

3.1 控制模块功能子模块图

其中,状态转换控制子模块 KZQ 的功能是控制微波炉工作过程中的状态转换,并发出有关控制信息;数据装载子模块 ZZQ 的功能是根据 KZQ 发出的控制信号选择系统预置方案或者根据自定义方案设定烹饪时间、设置最高温度值、选择火力档位等烹饪数据信息装载完成;烹饪计时子模块 JSQ 的功能是负责烹饪过程中的时间递减计数,是整个微波炉控制器的核心之一;温度控制子模块 KWQ 的功能是根

据数据装载器 ZZQ 设置的烹饪数据信息进行温度测定和控制,包括温度的测定和控制两部分;音效控制子模块 ALARM的功能是当定时时间到和温度达到设定值时,进行音响提示。

(3)显示模块

显示部分采用七段数码管和发光二极管来实现。外观显示上,采用 4 位 LED 数码管显示加热倒计时,3 位 LED 数码管显示当前温度值,1 位 LED 数码管显示当前火力档位。8 个状态提示指示灯分别表示:工作状态、开门指示、测试、烹调、烘烤、解冻、意外报警、完成提示。具体设计时,需涉及到动态扫描和显示译码。

3.2 可行性分析

可行性研究就是对项目开发的可能性和必要性进行分析,避免盲目的投资。其中必要性和效益的分析,用以决定是否建立系统的前提条件。同时还要进一步进行技术可行性分析、投资/效益分析、组织管理可行性分析,确定系统是否可行。

1)技术可行性:本系统的关键技术在于采用 EDA 技术作为开发方法,VHDL 语言为开发工具,由于之前又开设了该门课程,而 EDA 技术也是一门相当成熟的技术,实验室也提供有现成的应用软件等,对开发是有利的,因此技术上是可行的。

2)平台可行性:本系统以实验室已有实验箱作为开发板,可以对微波控制器设计进行开发、调试运行、仿真测试、结果验证等。还可以再网上下载一些免费的资源,比如MAXplusII 工作平台。由此可见平台上是可行的。

3)经济可行性:由于系统所搭建的平台,以及所用到的资源几乎都是现成提供,另外,还可以以现有网络资源为依据,以辅导老师的指导为参考,根据已学知识,综合设计要求,具体细化设计,降低了系统的开发成本,不需要为系统的开发而付额外的费用。所以,从经济角度讲,系统的开发是可行的。

4)社会可行性:随着人民生活水平的提高,微波炉开始进入越来越多的家庭,它给人们的生活带来了极大的方便。随着控制技术和智能技术的发展微波炉也朝着智能化、信息化的方向发展。而本次设计的可编程微波炉控制系统除实现常规的解冻、烹调、烘烤基本功能外还进行了创新设计实现了微波炉面板关闭的自动监测、智能控制等。所以,从现实角度讲,具有社会可行性。

技术可行性,平台可行性,经济可行性,和社会可行性都满足,因此开发该微波炉控制器是可行的。

3.3 重点与难点分析

重点:控制模块中的计时部分和温度控制部分

难点:温度控制部分,在具体实现过程中还需要仔细考虑。

4 设计的时间进度安排

5、已有的参考文献

1 李华.MCS- 51 系列单片机使用接口技术.北京:北京航空航天大学出版社,1990

2 黄继昌.传感器工作原理及应用实例.北京:人民邮电出版社,1998

3 纪宗南.单片机外围器件实用手册输入通道器件分册.北京:北京航空航天大学出版社,1998

4 阎石.数字电子技术基础.北京:高等教育出版社,2004

5 张志刚.FPGA 与 SOPC 设计教程:DE2 实践.西安:西安电子科技大学出版社,2007

6 汪国强.SOPC 技术与应用.北京:机械工业出版社,2006

7 江思敏.VHDL 数字电路及系统设计.北京:机械工业出版社,2006

毕业设计(论文) 毕业设计(论文)开题报告

题目基于 FPGA 的微波炉控制器的设计

1.目的及意义(含国内外的研究现状分析):

在现代人快节奏生活中,微波炉巳成为便捷生活的一部分,为人们节省了时间,为社会节约了能源.随着控制技术和智能技术的发展。微波炉也向着智能化、信息化发展.除了可以实现常规解冻,烹调.烘烤三项基本功能外,又进行了创新设计,增加了温度涮量和压力测量,定时,防微波泄漏检测.自定义烹调,程序烹调等功静.采用数码显示工作状态和时闻,预留液晶显示端口,显示更直观更人性化.

微波炉是一种用微波加热的现代化烹调灶具,它由电源、磁控管、控制电路和烹调腔组成。其中,微波炉控制器部分完成和工作状态之间的切换功能,本项目详细分析微波炉控制器的原理和组成结构,设计一个具有定时和信息显示功能的微波炉控制器。微波控制器系统可由以下四个电路模块组成:状态控制电路,其功能是控制微波炉工作过程中的状态转换,并发出控制信号;数据装载电路,其功能是根据控制信号选择定时时间,测试数据或计时完成信息的载入;计时电路,其功能是对时钟进行减法计数,提供烹调完成时的状态信号;显示译码电路,其功能是显示微波炉控制器的各状态信息。2.基本内容和技术方案:

2.1 基本内容:

设计一个具备定时和信息显示功能的微波炉控制器。

要求:

1.该微波炉控制器能够在任意时刻取消当前工作,复位为初始状态。

2.可以根据需要设置烹调时间的长短,系统最长的烹调时间为 59 分 59 秒;开始烹调后能够显示剩余时间的多少。

3.显示微波炉控制器的烹调状态。技术方案:

2.2 技术方案:

分析上述设计要求,微波炉控制器系统可以由以下四个电路模块组成:状态控制电路,其功能是控制微波炉工作过程中的状态转换,并发出相关控制信号;数据装载电路,其功能是根据控制信号选择定时时间,测试数据或计时完成信息的载人;计时电路,其功能是对时钟进行减法计数,提高烹调完成定时的状体信号;显示译码电路,其功能是显示微波炉控制信号的各状态信息。其中,显示译码电路可以由共阴极 LED 数码显示管构成。

图 2-1 微波炉控制器的系统框图

微波炉控制器的系统框图如图 2-1 所示。其中,CLK 为时钟输入信号,时钟上升沿敏感; RESET 为复位信号,高电平有效时系统

复位清零;TEST 为数码显示管测试信号,高电平有效,用于测试显示管是否正常工作;SET_T 为烹调时间设置信号,高电平有效时允许设置烹调时间; DATA 为定时时间输入信号,用于设置烹调时间长短,其由高到低分别表示定时时间分、秒的十位、个位;START 为烹饪开始信号,高电平有效时开始烹调;输出信号 COOK 只是微波炉状态,高电平时表示烹饪进行时;SEC0、SEC1、MIN0、MIN1 分别表示秒个位、十位、分个位、分十位。

微波炉控制器的工作流程如下:

首先,对系统进行复位清零,使其各电路模块均处于初始状态;当烹调时间设置信号 SET_T 有效时,读入时间信号 DATA[15…0]的取值,此时系统自动复位并显示设置的时间信号。按下开始键START,系统进入烹调状态,COOK 信号变为高电平,时钟计数器开始减法计数,显示剩余烹调时间。烹调结束,系统恢复初始状态,数码管显示输出烹饪结束信息。

当系统处于复位清零状态时,按下显示管测试按钮 TEST,将对显示管是否正常进行测试,正常工作时,显示管输出全 1.

2.3 主要模块分析

2.31 状态控制电路

状态控制电路的功能是根据输入信号和自身当时所处的状态完成的转换和输出相应的控制信号,其模块框图如图所示。其中,输出信号 LD_DONE 指示数据装载电路载人的烹调完毕的状态信息的显示驱动信息数据;LD_CLK 指示数据装载电路载人的设置的时间数

据;LE_TEST 指示数据装载电路载人的用于测试的数据,以显示驱动信息数据;COOK 指示烹饪的状态,并提示计时器进行减法计数。

图 2-2 状态控制电路模块框图

图中,当 RESET 有效时,系统复位清零;输入/输出对应烹调时间设置、显示译码测试、完成信号显示和减法定时四种状态进行相应的转换。

2.32 数据装载电路数据装载电路的本质是一个三选一多路选择器,其模块框图如图 15_4 所示。

图 2-3 数据状态电路模块框图

其中,LD-DONE 为高电平时,输出烹调完毕时的状态数据;LD_CLK 为高电平时,输出设置的烹饪时间数据;LD_TESTLOAD 为高电平时,输出测试数据;LOAD 则用于指示电路正处于上述三路信号模式中的哪一种。

2.33 计数电路模块

电路计时模块可以由十进制减法器和六进制减法器级联组成,其中,两个十进制的减法计数器用于分、秒的各位减法计数,两个六进制的减法计数器用于分、秒的十位减法计数。由六进制计数器和十进制级联构成的计时模块。

图 2-4 计时电路模块框图

3.进度安排:

4-7 周写开题报告

查阅相关的资料图书;

7-12 周硬件电路情况;

程序编写;

软件调试;

实验现象观察;

参数修改,记录相关数据;

结题;

13 周编写报告初稿;

14 周对学生的毕业设计初稿一一进行中期检查,指出设计中存在的问题,提出具体的修改意见,不符合要求的进行重点指导和修

改;

15 周交出完整报告。

基于FPGA的微波炉定时系统设计

基于FPGA的微波炉定时系统设计 发表时间:2018-10-26T10:19:02.383Z 来源:《建筑学研究前沿》2018年第15期作者:朱太梅 [导读] 以EDA工具为开发环境,以硬件描述语言VHDL为编程语言,以可编程逻辑器件FPGA为设计载体。 广东美的厨房电器制造有限公司 摘要:本文介绍了应用FPGA芯片和硬件描述语言(VHDL)设计微波炉控制器系统的方法。系统使用VHDL编程实现各底层模块的功能,顶层的设计采用图形输入完成。 关键词:FPGA;微波炉;定时系统;设计 引言 以EDA工具为开发环境,以硬件描述语言VHDL为编程语言,以可编程逻辑器件FPGA为设计载体,采用EDA技术自顶向下的电子系统设计,改进传统的电子系统设计观念。 1、微波炉控制器系统 微波炉控制器系统是一个实用型的系统系统,它不仅操作简单,而且烹调效果好,可以按固定程序加热一些家常菜,加热系统采取分时分火力加热,系统有以下几个模块:显示模块、输入模块、按键扫描和键盘译码控制模块,同时还包括状态转换控制数据装载烹饪计时温度控制及音效提示等显示模块,涉及到显示译码和指示灯的闪烁时,要经过需求分析设计并利用FPGA实现相应的功能,同时经过波形仿真调试验证设计方案,还要对方案的可行性进行有效的实现。 2、微波炉定时器工作流程 微波炉定时器和功率调节器结构和原理普通微波炉一般都采用定时器和功率调节(控制)器由同一电机驱动的组合体形式,简称定时功调器。定时器主要由微型同步电机、降速齿轮组件和定时联动开关等组成。由于其有联动开关串接在微波炉电源电路中,因此定时器大都兼作电源启动开关,当然另设启动开关的微波炉除外。当操作人员拨动定时钮,设定定时时间时,定时开关被接通,微波炉得电而开始工作,同时定时器电机转动。 图1 微波炉定时器工作流程图 3、微波炉定时设计要求 设计一种基于FPGA的微波炉定时系统。要求:系统通电后处于复位状态。首先,系统读入烹调时间,并显示在数码管上;然后按START键,系统进入烹调状态,剩余烹调时间在数码管上实时刷新;烹调结束后,数码管显示烹调结束信息,系统回到复位状态。在烹调过程中,按PAUSE键或RESET键,可使系统暂停工作或使系统回到复位状态;在复位状态下,按TEST键可测试数码管工作是否正常。 图2 微波炉定时系统顶层模块连接图 4、微波炉定时设计方案 从系统设计要求出发,自顶向下地将设计细化,使功能具体化、模块化。微波炉定时系统由状态控制器、数据装载器、烹调计时器和动态显示电路等模块构成。将各模块连接起来,用图形输入法形成顶层模块,微波炉定时系统顶层模块连接如图2所示。 5、微波炉定时系统设计实现 首先进行系统设计,划分各个功能模块,然后借助于EDA工具进行具体的模块设计。采用VHDL语言对各模块进行编程,在 MAX+PLUSⅡ环境下对各程序进行编译和仿真验证,创建各模块的器件符号,待建立整体系统顶层文件时调用。 状态控制器状态控制器的功能是根据输入信号和微波炉所处的状态控制自身工作状态的转换,并输出相应的控制信号。测试信号TEST=‘1’时,则LD_8888=‘1’,指示数据装载器装入用于测试的数据“8888”;置位端SET_T=‘1’时,则LD_CLK=‘1’,指示数据装载器装入设置的烹调时间数据;启动信号START=‘1’时,则COOK=‘1’,指示烹调正在进行之中,并提示计时器进行减计数;直到DONE=‘1’时,则LD_DONE=‘1’,指示数据装载器装入烹调完毕的状态信息“donE”,才使COOK=‘0’。中间信号量CURR_STATE指示出状态控制器的5种状态,分别用0、1、2、3、4来代表。 数据装载器数据装载器的功能是在状态控制器输出信号的控制下选择定时时间、测试数据或烹调完成等信息的装载。利用3个装载信号的组合LD_8888&LD_DONE&LD_CLK赋给变量TEMP,巧妙地解决装载数据的选择问题。当LD_8888=‘1’时,输出测试数据, DATA2=“8888”;当LD_CLK=‘1’时,输出设置的烹调时间数据,DATA2=“2453”;当LD_DONE=‘1’时,输出烹调完毕的状态信息数据,

智能微波炉控制系统设计

智能微波炉课程设计 题目基于PLC的智能微波炉控制系统设计 同济大学 专业机械设计制造及其自动化班级机电 B 学号080221 学生姓名傅威东 指导老师XX、XXX 完成日期2011年11月

随着科学技术的进步,电子技术传感技术以及材料技术近年来得到了很大的发展。国内外微波炉研发机构和生产工厂,为了满足微波炉消费者的使用要求,将各种先进的现代化技术应用微波炉,推出了一系列新颖先进的微波炉产品。这些微波炉新产品,反映了微波炉技术发展趋势,这些趋势主要表现在以下几个方面。 (1)多功能。随着现代化人们生活节奏的加快以及追求生活质量的提高,对于食物的加工烹饪也提出了更高的要求,因而出现了多功能的微波炉。比如将电烤箱的烧烤功能元件加入微波炉,制造出的微波炉烧烤组合微波炉,就是一个例子。这种微波炉目前在国内已经非常普遍,其优点就在于利用微波炉能量快速烹调,使食物具有更好的口感和视觉效果效应。 (2)智能化。采用微电脑控制技术和传感器感测技术,实现微波炉的智能化加热烹调,是微波炉技术发展的一大方向。这中智能化的微波炉,无需使用者在操作按键上输入烹调时间、加热功率、食物重量等参数,只要按一下启动键,微波炉内的传感器就将检测到的食物温度、整齐湿度等参数不断输出给电脑控制芯片,微电脑控制芯片进行一系列的运算、比较、分析之后,输出相应的指令,自动控制微波炉的加热时间和功率大小,实现智能化全自动烹调 (3)节能化。松下公司将变频技术应用于微波炉推出的变频微波炉产品,通过将市电电源换为变频电源,能将50Hz的电源任意转换成20000~45000Hz的高频电源,供给微波炉产生电路,使微波炉的输出功率随着电源频率的变化而改变,从而改变了以往微波炉利用占空比原理调节微波炉输出功率的方式,不仅使得微波炉能量产生电路的供电系统的体积重量大大减小,而且使得耗电量减少了四分之一左右。 (4)健康化。随着人们健康环保意识的增强,对于食品中热量的限制也愈加重视。作为现代化食品烹调器具的微波炉,能烹调出低热量的保健食品。 1.2 微波炉概述 电源向磁控管提供大约4000伏高压,磁控管在电源激励下,连续产生微波,再经过波导系统,耦合到烹调腔内。在烹调腔的进口处附近,有一个可旋转的搅拌器,因为搅拌器是风扇状的金属,旋转起来以后对微波具有各个方向的反射,所以能够把微波能量均匀地分布在烹调腔内。微波炉其实就是用微波来煮饭烧菜的。微波炉是一种用微波加热食品的现代化烹调灶具。微波是一种电磁波。微波

微波炉控制系统设计

微波炉控制系统设计 学校:广东技术师范学院天河学院系别:电气工安程系 班级:本电信091 组员:李嘉骏、曾访云、刘开云 指导老师:陈吹信、刘炽辉

目录 一.概述 二.方案的选择与比较 三、系统模块的设计与比较 四、原理图

一.概述 随着科技的日益进步,家电行业的竞争越来越激烈,而且人们的收入有所提高。因此,日常的小型家电就可以进入百姓家,如微波炉。而一款功能强大的微波炉更能受到广大百姓的喜爱。因为,一款功能强大的微波炉能给人们带来极大的方便。更重要的是微波炉的功能是有控制系统了来决定,于是我们决定设计一个微波炉控制系统,在原有功能的基础上如(烤、烘等功能)再加上新的功能如(语音提示等扩展功能)。从而能够在微波炉销售市场中脱颖而出。主控部分采用STC89C52芯片,外围部分由4x4键盘,语音模块,显示模块等组成。 其中,4x4键盘主要用来对微波炉的工作方式进行设定,语音模块主要用来对用户的提示,该功能的引入一定能让微波炉的销售量大幅度的攀升,该功能也是本次设计的一大特色,而且,这一功能可以给用户带来极大的方便,大大避免了用户因为一时的遗忘而导致食物被留在微波炉内,而显示模块部分是用来显示微波炉的工作状态和食品的加工的情况,让用户能对微波炉的工作状态有一定的了解。其次,该微波炉还带有自我保护功能。例如,当微波炉内检测到没有食物的话,微波炉是不会正常工作,并给出相应的提示,这样的话就避免了因空烧而带来不必要的能源浪费。而实际中微波炉的工作原理是这样的,用微波来加热,用的频率是24. 5亿赫左右的超短波,它由磁控管产生,经微波炉金属器壁反射再反射后,被炉中的食物吸收。食物能吸收微波是因为食物中含有水分。水分子为极性分子,一端为正极,一端为负极,而微波是电磁波,有正半周与负半周。24. 5亿赫即表示该微波在一秒钟内变换正负极达24. 5亿次,每换一次,水分子即跟随反转一次;由于水分子一直振动反射,也就摩擦生热,热被食物分子吸收,食物就会变热、变熟。

微波炉说明书G80F23CSLQ6(R0)

波/微波+蒸汽 用来输入所需火力。 烹调时间最长可选择到99分99秒。 在烹调过程中,按【微波/微波+蒸汽】键可查询当前火力。各火力相应的显示符号及适用食物如下:

快速解冻 只需输入解冻食物所需的解冻时间,微波炉会自动从中高火至中低火再降至低火操作,烹调时间最长可选择到99分99秒。 光波/组合 按【光波/组合】键有3种光波烹调模式。 1.光波烹调 烹调时间最长可选择到99分99秒。 单一光波管发热,专门用于烹调薄块的肉类,如牛扒、猪扒、串烧、香肠或鸡翼等,也适合烧烘三文治等食物。 2.微波光波组合烹调1 烹调时间最长可选择到99分99秒。 30%时间微波输出,70%时间光波输出,光波效果最佳。 此功能适合烹调如海鲜、布丁等。 3.微波光波组合烹调2 烹调时间最长可选择到99分99秒。

55%时间微波输出,45%时间光波输出,微波煮食速度最快。适用于肉类、整只鸡、鸭、鹅等,可使其内部煮熟。 按重解冻 只需输入食物的重量,微波炉会自动选择解冻时间及火力。 按重解冻的重量范围为0.1千克-2.7千克。 按重解冻的食物范围一般是肉类、家禽类、海鲜类。 停止/取消 在设置程序时,按【停止/取消】键一次可取消已设程序,微波炉恢复为待机状态。 在烹调过程中,按【停止/取消】键一次可暂停烹调程序,再按【启动】键一次继续烹调,若连续按【停止/取消】键二次可取消当前烹调程序,微波炉恢复为待机状态。 设置童锁和解除童锁功能。 微波杀菌 微波杀菌功能可以对陶瓷类、塑胶类、玻璃类餐具,口罩类等物品杀菌,且注意不能对金属类餐具杀菌,杀菌前请将餐具表面的水渍抹干净,口罩要拧干。光波杀菌 光波杀菌功能可以对陶瓷类、金属类、玻璃类餐具等物品杀菌,且注意不能对塑料类餐具杀菌,杀菌前请将餐具表面的水渍抹干净。 预置

基于PLC的智能微波炉控制系统设计

课程设计说明书 题目基于PLC的智能微波炉控制系统设计 同济大学浙江学院 专业机械设计制造及其自动化班级机电B学号080221 学生姓名傅威东 指导老师XX、XXX 完成日期2011年11月 随着科学技术的进步,电子技术传感技术以及材料技术近年来得到了很大的发展。国内外微波炉研发机构和生产工厂,为了满足微波炉消费者的使用要求,将各种先进的现代化技术应用微波炉,推出了一系列新颖先进的微波炉产品。这

些微波炉新产品,反映了微波炉技术发展趋势,这些趋势主要表现在以下几个方面。 (1)智能化。采用微电脑控制技术和传感器感测技术,实现微波炉的智能化加热烹调,是微波炉技术发展的一大方向。这中智能化的微波炉,无需使用者在操作按键上输入烹调时间、加热功率、食物重量等参数,只要按一下启动键,微波炉内的传感器就将检测到的食物温度、整齐湿度等参数不断输出给电脑控制芯片,微电脑控制芯片进行一系列的运算、比较、分析之后,输出相应的指令,自动控制微波炉的加热时间和功率大小,实现智能化全自动烹调。 (2)多功能。随着现代化人们生活节奏的加快以及追求生活质量的提高,对于食物的加工烹饪也提出了更高的要求,因而出现了多功能的微波炉。比如将电烤箱的烧烤功能元件加入微波炉,制造出的微波炉烧烤组合微波炉,就是一个例子。这种微波炉目前在国内已经非常普遍,其优点就在于利用微波炉能量快速烹调,使食物具有更好的口感和视觉效果效应。 (3)节能化。松下公司将变频技术应用于微波炉推出的变频微波炉产品,通过将市电电源换为变频电源,能将50Hz的电源任意转换成20000~45000Hz的高频电源,供给微波炉产生电路,使微波炉的输出功率随着电源频率的变化而改变,从而改变了以往微波炉利用占空比原理调节微波炉输出功率的方式,不仅使得微波炉能量产生电路的供电系统的体积重量大大减小,而且使得耗电量减少了四分之一左右。 (4)健康化。随着人们健康环保意识的增强,对于食品中热量的限制也愈加重视。作为现代化食品烹调器具的微波炉,能烹调出低热量的保健食品。 (5)操作简便化。采用各种液晶触摸式控制面板和声控传递系统,使得这种多功能微波炉的操作变得简单易行。 1.2微波炉概述 微波炉其实就是用微波来煮饭烧菜的。微波炉是一种用微波加热食品的现代化烹调灶具。微波是一种电磁波。微波炉由电源,磁控管,控制电路和烹调腔等部分组成。电源向磁控管提供大约4000伏高压,磁控管在电源激励下,连续产生微波,再经过波导系统,耦合到烹调腔内。在烹调腔的进口处附近,有一个可旋转的搅拌器,因为搅拌器是风扇状的金属,旋转起来以后对微波具有各个方向

微波炉系统设计

1 选题的目的和意义 1.1 选题的背景 在现代人快节奏生活中,微波炉已成为便捷生活的一部分。随着控制技术和智能技术的发展,微波炉也向着智能化、信息化发展。而现有市售的微波炉其主要弊端为:不能按既有程序进行烹调,需要使用者根据食物的类型、数量、温度等因素去设定微波炉的工作时间,若设定的工作时间过长,含水分较多的食物可能会产生过热碳化的现象,若时间过短则达不到预期的烹调效果。不仅在节能方面未做过多考虑,使用者还需要经常翻看使用说明书才能完成操作过程。针对这些问题,笔者认为有必要研制一种操作简单且烹调效果好的微波炉,根据一些家常菜按固定程序烹调的现象,可采取分时、分档火力加热,节时又节能。 1.2 设计的目的和意义 目前大部分微波炉控制器采用单片机进行设计,电路比较复杂,性能不够灵活。本设计采用先进的 EDA 技术,利用 VHDL 设计语言,设计一种新型的微波炉控制器。该控制器具有系统复位、状态控制、时间设定、火力档位选择、烹饪计时、温度控制、显示译码和音效提示等功能,基于 FPGA 芯片实现。 该微波炉控制系统,除实现常规的解冻、烹调、烘烤的基本功能外,还进行了创新设计,实现了微波炉的自定义设置。 本系统控制部分以 FPGA 芯片为核心,通过功能按键设置和手动数据输入,完成不同功能时自动以预置方案或者自定义方案加热。其

中,预制方案提供烹调、烘烤、解冻等系统烹调流程,仅供用户选择,无需设置;而自定义方案,用户根据食物含量、重量等手动设置时间、温度和选择火力等操作。在烹饪过程中,能通过数码管显示或者指示灯提示知道食物的成熟度,可以智能控制。 该系统在功能执行时,能实现门开关检测、键盘输入扫描、温度控制、LED 显示、工作状态指示、蜂鸣等。 1.3 选题的技术现状 目前大部分微波炉控制器采用单片机进行设计,电路比较复杂,性能不够灵活。本文采用先进的 EDA 技术,利用 Quartus II 工作平台 VHDL 设计语言,设计一种新型的微波炉控制器系统。该系统用VHDL 编程实现各底层模块的功能,顶层设计用图形输入完成。该系统具有系统复位、时间设定、烹饪计时、温度控制和音效提示等功能,在 FPGA 上实现。 2 题目的主要内容 本课题是基于 FPGA 的微波炉控制器设计,即设计一个具备定时、温控、信息显示和音响效应提示功能的微波炉控制器,实现一些功能:·该微波炉控制器能够在任意时刻取消当前工作,复位为初始状态。 ·可以根据需要设置烹调时间的长短,系统最长的烹调时间为 59 分 59 秒;开始烹调后,能够显示剩余时间的多少。 ·可以根据需要设置烹调最高温度值,系统最高的烹调温度为999℃;开始烹调后,能够显示系统当前温度值。

微波炉控制器

微波炉控制器 微波炉是一种微波加热食品的现代化烹调灶具,它由电源、磁控管、控制电路和烹调腔组成。其中,微波炉控制器部分完成各工作状态之间的切换功能,可以通过硬件语言描述的数字系统来实现。详细分析微波炉控制器的原理和组成结构,并设计一个简单的具有定时和信息显示功能的微波炉控制器。 一、系统设计要求 设计一个具备定时和信息显示功能的微波炉控制器。 要求该微波炉控制器能够在任意时刻取消当前工作,复位为初始状态。 可以根据需要设置烹调时间的长短,系统最长的烹调时间为59分59秒;开始烹调后,能够显示剩余时间的多少。 显示微波炉控制器的烹调状态。 二、系统设计方案 分析上述设计要求,微波炉控制器可由以下四个电路模块组成:状态控制电路,其功能是控制微波炉工作过程中的状态转换,并发出相关控制信号;数据装载电路,其功能是根据控制信号选择定时时间,测试数据或计时完成信息的载入;计时电路,其功能是对时钟进行减法计数,提供烹调完成时的状态信号;显示译码电路,其功能是显示微波炉控制器的各状态信息。 图1 微波炉控制器的系统框图 微波炉控制器的系统框图如图1所示。其中,CLK为时钟输入信号,时钟上升沿敏感;RESET为复位信号,高电平有效时系统复位清零;TEST为数码显示管测试信号,高电平有效,用于测试显示管是否正常工作;SET_T为烹调时间设置信号,高电平有效

时允许设置烹调时间;DATA为定时时间输入信号,用于设置烹调时间的长短,其由高到低分别表示定时时间分、秒的十位,个位;START为烹调开始信号,高电平有效时开始烹调;输出信号COOK指示微波炉状态,高电平时表示烹调进行时;SEC0、SEC1、MIN0、MIN1分别表示秒个位、秒十位、分个位、分十位。 顶层模块的RTL原理图如下: 微波炉控制器的工作流程如下: 首先,对系统进行复位清零,使其各电路模块均处于初始状态;当烹调时间设置信号SET_T有效时,读入时间信号DATA[15…0]的取值,此时系统自动复位并显示设置的时间信息,按下开始键START,系统进入烹调状态,COOK信号变为高电平,时钟计数器开始减法计数,显示剩余烹调时间。烹调结束,系统恢复初始状态,数码管显示输出烹饪结束信息。 当系统处于复位清零状态时,按下显示管测试按钮TEST,将对显示管是否正常工作进行测试,正常工作时,显示管输出全1。 三个主要模块中状态控制电路的功能是根据输入信号和自身当时所处的状态完成状态的转换和输出相应的控制信号,根据微波炉工作流程的描述,分析状态转换条件及输出信号,可以得到如下所示的微波炉控制器的状态转换图。

微波炉控制系统

河北工业大学计算机 硬件技术基础(MCS-51)——微波炉控制系统设计报告学院土木工程班级姓名学号 成绩 _ _ 一、设计题目: (编号12)微波炉控制系统设计 二、设计目的: (1)模拟微波炉的控制系统,实现部分功能,包括:①大小火力的选择;②设定温火加热时间并显示;③时间倒计时并通过LED显示;④设置蜂鸣器来警告加热时间到;⑤设置中断来模拟开门等。 (2)通过试验进一步加深对MCS—51单片机内部结构和程序设计方法的理解。 (3)通过两个人的合作,增强团队精神。 三、总体设计 1、分析问题的功能 本设计主要预实现以下工作流程: 1)按下电源键,指示灯亮,LED显示00,单位是秒。 2)通过键盘设置需要加热的时间,在LED上进行显示。 3)时间设定完后,通过大小两个按键,选择火力大小,启动微波炉开始工作。 4)LED显示剩余工作时间,定时时间到后蜂鸣器鸣叫,LED显示消失,火力指示灯和电源指示灯均熄灭。 5)微波炉运行过程中,若按下K1键,则微波炉停止工作,LED显示灭,指示灯灭。 2、系统总体结构设计 1)硬件设计: 单片机:MCS-51单片机 I/O接口:P1口和P3口

其他硬件设备:3个LED 灯(LED1、LED2、LED3)、 3个SWH 键(SWH1、SWH2、SWH3)、 一个K 键(K1)、 LED 显示(LED6、LED7)、键盘(S0—S9)、蜂鸣器。 设定 8031时钟频率 11.0592 MHz 2)软件设计:(具体见程序清单中的文字解释) 四、详细设计: 1、硬件详细设计: 1)画出电路图; 指示灯控制 LED 显示和键盘控制

数字电路 门电路教案

《数字电子技术》课程 门电路 1)二,三极管的开关特性:BJT:b控制c,e之间的通或断,I B 饱和或截止 FET:G控制d,s之间的导通 u GS D,S 恒流或夹断 2)简单门电路:二极管:与,或 三极管:非门 与非,或非门 3)集成门电路: TTL门电路:反相器,静动态特性, 其它TTL门:与非门,或非门,异或,与或非门,OC,TS门 CMOS门电路:反相器 其它门:OD,TS,TG门 注意:各种门电路的工作原理,只要求一般掌握;而各种门电路的外部特性和应用是要求重点。 概述 门电路:实现基本逻辑运算和复合逻辑运算的单元电路。 门电路的两种输入,输出电平:高电平、低电平。它们分别对应逻辑电路的1,0状态。 正逻辑:1代表高电平;0代表低电平。 负逻辑:0代表高电平;1代表低电平。

+ u i R L - + u o - D 开关电路 授 课 内 容 及 过 程 当代门电路(所有数字电路)均已集成化。 根据制造工艺不同可分为单极型和双极型两大类。 门电路中晶体管均工作在开关状态。 首先介绍晶体管和场效应管的开关特性。 然后介绍两类门电路。 注意:各种门电路的工作原理,只要求一般掌握; 而各种门电路的外部特性和应用是要求重点。 半导体二极管门电路 一、二极管的开关特性 1.开关电路举例 2.静态特性 输入信号慢变化时的特性。 ? 伏安特性 ? 等效电路 在数字电路中重点在判断二极管开关状态, 因此必须把特性曲线简化。(见右侧电路图) 15分钟 20分钟

授课内容及过程有三种简化方法: 3.动态特性 输入信号快变化时的特性。 当外加电压突然由正向变为反向时,二极 管会短时间导通。 这段时间用t re表示,称为反向恢复时间。 它是由于二极管正向导通时PN结两侧的 多数载流子扩散到对方形成电荷存储引起的。 10分钟 10分钟

微波炉控制系统c语言编程

#include #include #define nop _nop_ #define uchar unsigned char #define uint unsigned int /*****************************************************************************/ //定义 sbit WEI_LE=P1^1; //数码管控制 sbit DUAN_LE=P1^0; sbit RS=P2^0; //LCD端口 sbit RW=P2^1; sbit E=P2^2; #define DB P0 sbit PSB=P2^3; sbit NC=P2^4; sbit RST=P2^5; sbit BEEP=P1^0; //蜂鸣器 sbit KEY1=P3^4; //按键 sbit KEY2=P3^5; sbit KEY3=P3^2; sbit KEY4=P3^3; uchar key_up,key_x; //按键变量 uchar fire,min,sec; //火力和时间变量 uchar o_hour,o_min,o_sec; //预约时间变量 /*****************************************************************************/ //辅助函数 //延迟函数 void delay50us(uint m) //for双重嵌套型。公式:t=m*(2*n+12)+偏差值。m比较小时,偏差为+13;m=0时,t=14。 { uchar n; for(;m>0;m--) for(n=19;n>0;n--); } void delay500us(uint m) //for双重嵌套型。公式:t=m*(2*n+12)+偏差值。m比较小时,偏差为+13;m=0时,t=14。 { uchar n; for(;m>0;m--) for(n=244;n>0;n--);

智能微波炉控制系统设计

摘要 随着科学技术的日益进步,电气控制与可编程控制器技术以及材料技术近年来得到了很大的发展。各个地区的生产工厂和微波炉研发机构,为了实现微波炉消费者的需求,现已将各种先进的现代化技术应用于微波炉,推出了一系列新颖先进的微波炉产品。 系列PLC的智能微波炉控制系统,FX不但具课题设计是一个基于三菱FX 2N 有编程简单、通用性强、抗干扰能力强、可靠性高等优点,而且容易操作和维护,设计、施工以及调试周期也短。 本篇文章根据智能微波炉控制系统的控制要求,确定了基于PLC的智能微波炉控制系统的设计方案;完成了PLC主电路和辅助电路的设计;编写相应的梯形图,在熟谙了微波炉工作原理的基础上,对课题进行系统设计,利用可编程控制器,通过键盘输入模块,门限位与传感器模块等组成控制系统,完成了三种烹饪模式、三种定时时间、完成提醒和超高温报警等功能。本设计中使用到的主要软件有GX developer和GT designer3,其中GX developer是用来编写程序并调试程序的,GT designer3是用来对系统进行仿真的。 关键词:PLC;智能微波炉控制系统;仿真

ABSTRACT With the progress of science and technology, electrical control and PLC technology and materials technology in recent years it has been a great development. Microwave R & D and production facilities in various regions at home and abroad, in order to meet the needs of consumer microwave ovens, various advanced modern technology used for microwave ovens, launched a series of new advanced microwave products. Subject design is based on a Mitsubishi FX2N series PLC intelligent control system for a microwave oven, FX only with programming simple, versatile, strong anti-jamming capability, high reliability and easy operation and maintenance, design, construction and commissioning period is short. This article according to the control requirements of intelligent microwave oven control system to determine the design of PLC intelligent control system based on the microwave oven; PLC completed the design of the main and auxiliary circuits; prepare the corresponding ladder, a key issue in the familiar system design after working principle of the microwave oven, the use of PLC programmable good, fast signal processing and control, aided by the keyboard input module, door stopper and sensor module composed of the control system, the completion of three cooking modes, three kinds of timing, completion reminders and ultra-high temperature alarm. Used in this design to the main software GX developer and GT designer3, where GX developer is used to program and debug the program, GT designer3 is used for system simulation. Keywords:PLC;intelligent microwave oven control system;emulation

基于单片机的微波炉控制系统设计毕业设计

自动化学院 本科毕业设计(论文) 题目:基于高性能单片机的 微波炉控制系统设计 专业:自动化(数控技术) 班级学号: 学生姓名: 指导教师: 起迄日期:2012.2~2012.6 设计地点:实验楼 _

Graduation Design (Thesis) Design of Microwave Oven Control System Based on High-performance MCU By Supervised by School of Automation Nanjing Institute of Technology June,2012

摘要 近年来随着计算机在社会领域的渗透和大规模集成电路的发展,单片机的应用正在不断地走向深入,由于它具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等特点,因此特别适合于与控制有关的系统,越来越广泛地应用于自动控制,智能化仪器,仪表,数据采集,军工产品以及家用电器等各个领域,单片机往往是作为一个核心部件来使用,再根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。 微波炉控制系统设计采以微控制器(MCU)为核心,基于MCU 编制软件系统,结合8位数码管(LED)显示以及必要的外围电路,完成微波炉的可编程智能控制。系统由计时控制、火力设定、用户界面、音响发生几大模块组成。能够根据键盘输入完成相应的功能,同时使用LED 显示系统状态,并进行响铃提示。 关键词:微控制器;微波炉;控制器

南京工程学院自动化学院本科毕业设计(论文) ABSTRACT With the computer penetration in the social sphere in recent years, and the development of large scale integrated circuits, microcontroller applications are continually developing deeply, because of its powerful function, small size, low power consumption, cheap price, reliable performance, easily using, etc, it is particularly suitable for systems with control. It is used more and more widely in automatic control, intelligent instruments, meters, data acquisition, military products and home appliances etc, SCM is often used as a core component in according to the specific hardware architecture, and it is often combined with application-specific features of the software objects to make perfect. Microwave oven control system design used the microcontroller as the core, based on MCU preparation software system, combined with eight digital tube (LED) display and necessary peripheral circuits to complete the microwave oven programmable intelligent control. System consisted of several modules such as the time controlling , fire setting, the user interface, sound design. It could complete the function under the keyboard , meanwhile used the LED to display the status of system, and prompted us through a ringer. Key words:microcontroller; microwave oven; controller

智能微波炉控制系统设计

智能微波炉控制系统设 计 Revised by Chen Zhen in 2021

摘要 随着科学技术的日益进步,电气控制与可编程控制器技术以及材料技术近年来得到了很大的发展。各个地区的生产工厂和微波炉研发机构,为了实现微波炉消费者的需求,现已将各种先进的现代化技术应用于微波炉,推出了一系列新颖先进的微波炉产品。 课题设计是一个基于三菱FX 系列PLC的智能微波炉控制系统,FX不但具有编程简 2N 单、通用性强、抗干扰能力强、可靠性高等优点,而且容易操作和维护,设计、施工以及调试周期也短。 本篇文章根据智能微波炉控制系统的控制要求,确定了基于PLC的智能微波炉控制系统的设计方案;完成了PLC主电路和辅助电路的设计;编写相应的梯形图,在熟谙了微波炉工作原理的基础上,对课题进行系统设计,利用可编程控制器,通过键盘输入模块,门限位与传感器模块等组成控制系统,完成了三种烹饪模式、三种定时时间、完成提醒和超高温报警等功能。本设计中使用到的主要软件有GX developer和GT designer3,其中GX developer是用来编写程序并调试程序的,GT designer3是用来对系统进行仿真的。 关键词:PLC;智能微波炉控制系统;仿真 ABSTRACT With the progress of science and technology, electrical control and PLC technology and materials technology in recent years it has been a great development. Microwave R & D and production facilities in various regions at home and abroad, in order to meet the needs of consumer microwave ovens, various advanced modern technology used for microwave ovens, launched a series of new advanced microwave products. series PLC intelligent control Subject design is based on a Mitsubishi FX 2N system for a microwave oven, FX only with programming simple, versatile, strong anti-jamming capability, high reliability and easy operation and maintenance, design, construction and commissioning period is short. This article according to the control requirements of intelligent microwave oven control system to determine the design of PLC intelligent

第1章 数字电路和集成逻辑门电路习题解答

思考题与习题 1-1 填空题 1)三极管截止的条件是U BE ≤0V。三极管饱和导通的条件是I B≥ I BS。三极管饱和导通的I BS是I BS≥(V CC-U CES)/βRc。 2)门电路输出为高电平时的负载为拉电流负载,输出为低 电平时的负载为灌电流负载。 3)晶体三极管作为电子开关时,其工作状态必须为饱和状态或截止 状态。 4) 74LSTTL电路的电源电压值和输出电压的高、低电平值依次约为 5V、2.7V、 0.5V 。74TTL电路的电源电压值和输出电压的高、低电平值依次约为 5V、2.4V、 0.4V 。 5)OC门称为集电极开路门门,多个OC门输出端并联到一起可实现线与功能。 6) CMOS 门电路的输入电流始终为零。 7) CMOS 门电路的闲置输入端不能悬空,对于与门应当接到高电平,对于 或门应当接到低电平。 1-2 选择题 1)以下电路中常用于总线应用的有 abc 。 A.TSL门 B.OC门 C.漏极开路门 D.CMOS与非门 2)TTL与非门带同类门的个数为N,其低电平输入电流为1.5mA,高电平输入电流为10uA,最大灌电流为15mA,最大拉电流为400uA,选择正确答案N最大为 B 。 A.N=5 B.N=10 C.N=20 D.N=40 3)CMOS数字集成电路与TTL数字集成电路相比突出的优点是 ACD 。 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 4)三极管作为开关使用时,要提高开关速度,可 D 。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 5)对于TTL与非门闲置输入端的处理,可以 ABD 。 A.接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端并联 6)以下电路中可以实现“线与”功能的有 CD 。 A.与非门 B.三态输出门

微波炉控制程序设计

南京工程学院
课程设计任务书




单片机原理及应用 A 自动化学院
院(系、部、中心) 专 班 起 指 止 导 日 教 业 级
期 2007.05.08~2007.05.11 师

1.课程设计应达到的目的 运用<<单片机原理及应用 A >>课程等知识,根据题目要求进行软硬件系统的设计和 调试,从而加深对本课程知识点的理解,使学生综合应用知识能力、设计能力、调试能 力及报告撰写能力等显著提高。 掌握 8255 可编程并行接口芯片、键盘和七段数码管 LED 的应用等。
?
2.课程设计题目及要求 一、题目 微波炉控制程序设计 二、设计要求: 利用实验系统的硬件资源设计一个“带 LED 显示的微波炉控制器” 。 控制面板如下:
0 2 4 定时时间显示 6 8 电 源 大
指示灯
1 3 5 7 9 中 小
工作流程如下: (1) 按下电源键,指示灯亮,通过数字键设定需要加热的时间,并在 LED 上进行 显示,单位为秒 (2) 时间设定完后,通过按大、中、小三个键,选择火力的大小,并启动微波炉 进行工作。 (3) LED 实时显示剩余的工作时间,定时时间到后自动停止,指示灯灭。 (4) 微波炉运行过程中,若再按下电源键,则微波炉停止工作,指示灯灭。

3.课程设计任务及工作量的要求〔包括课程设计计算说明书、图纸、实物样品等要 求〕 根据任务书要求,在教师的指导下,独立完成设计方案,根据各模块功能,采用相 关知识完成各功能块的详细设计、调试以及系统测试。 完成设计任务后,由指导教师进行验收。验收过程中,接受指导教师对综合应用能 力和实验能力的提问考查。 认真、规范地撰写课程设计报告,报告应包括: 封面 目录 内容部分包含: 一、设计任务(要求) 二、总体方案 三、原理框图 四、系统模块详细设计与调试 五、设计总结 六、参考文献 七、附件材料 (含硬件图、程序清单及说明、元器件清单和实物图片等)
4.主要参考文献
《单片机原理及应用实验指导书》 ,屈波等编,2007 年 《单片机原理及应用》 ,赵德安等编,机械工业出版社,2004 《单片机基础》,李广弟等,北京航空航天大学出版社,2004 年

基于单片机电磁炉控制系统设计

邯郸学院本科毕业论文(设计) 题目基于单片机微波炉控制系统设计 学生韩浩学 指导教师李培英副教授 年级2011级专接本 专业电气工程及其自动化 二级学院物理与电气工程系 (系、部) 邯郸学院物理与电气工程系 2013年6月

郑重声明 本人的毕业论文(设计)是在指导教师李培英的指导下独立撰写完成的。如有剽窃、抄袭、造假等违反学术道德、学术规范和侵权的行为,本人愿意承担由此产生的各种后果,直至法律责任,并愿意通过网络接受公众的监督。特此郑重声明。 毕业论文(设计)作者(签名): 年月日

基于单片机电磁炉控制系统设计 摘要 随着时代的发展,微型计算机在社会生活各个方面、领域的不断发展以及大规模集成电路的技术的不断成熟,单片机技术的应用正在不断地走向深入,由于单片机具有功耗低,体积小,价格便宜,功能强,工作可靠,使用方便等特点,因此特别适合于与控制有关的系统,越来越广泛地应用于自动控制系统,智能化仪器设备,仪表,数据采集,以及家用电器等各个领域,单片机往往是作为一个核心部件来使用,再根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。 微波炉控制系统设计采以微控制器(MCU)为核心,基于MCU 编制软件系统,结合LM016L 显示以及必要的外围电路,完成微波炉温度系统的可编程智能控制。系统由计时控制、用户界面、音响发生几大模块组成。能够根据按键输入完成相应的功能,同时使用LCD显示当前系统温度的高低,并进行灯光、响铃提示。 关键词:单片机微波炉控制系统

The Design Of Electromagnetic Oven Control System Based On The Microcomputer Han Haoxue Directed by Lipeiying Associate Professor ABSTRACT With the computer penetration in the social sphere in recent years, and the development of large scale integrated circuits, microcontroller applications are continually developing deeply, because of its powerful function, small size, low power consumption, cheap price, reliable performance, easily using, etc, it is particularly suitable for systems with control. It is used more and more widely in automatic control, intelligent instruments, meters, data acquisition, military products and home appliances etc, SCM is often used as a core component in according to the specific hardware architecture, and it is often combined with application-specific features of the software objects to make perfect. Microwave oven control system design used the microcontroller as the core, based on MCU preparation software system, combined with eight digital tube (LED) display and necessary peripheral circuits to complete the microwave oven programmable intelligent control. System consisted of several modules such as the time controlling, fire setting, the user interface, sound design. It could complete the function under the keyboard , meanwhile used the LED to display the status of system, and prompted us through a ringer. KEY WORDS:Microcontroller Control-system Microwave-oven

相关主题