搜档网
当前位置:搜档网 › 控制系统数字仿真实验报告

控制系统数字仿真实验报告

控制系统数字仿真实验报告
控制系统数字仿真实验报告

控制系统数字仿真实验报告

班级:机械1304

姓名:俞文龙

学号: 0801130801

实验一数字仿真方法验证1

一、实验目的

1.掌握基于数值积分法的系统仿真、了解各仿真参数的影响;

2.掌握基于离散相似法的系统仿真、了解各仿真参数的影响;

3.熟悉MATLAB语言及应用环境。

二、实验环境

网络计算机系统(新校区机电大楼D520),MATLAB语言环境

三实验内容

(一)试将示例1的问题改为调用ode45函数求解,并比较结果。

实验程序如下;

function dy = vdp(t,y)

dy=[y-2*t/y];

end

[t,y]=ode45('vdp',[0 1],1);

plot(t,y);

xlabel('t');

ylabel('y');

(二)试用四阶RK 法编程求解下列微分方程初值问题。仿真时间2s ,取步长h=0.1。

?????=-=1

)0(2y t y dt dy

实验程序如下: clear t0=0; y0=1; h=0.1; n=2/h; y(1)=1; t(1)=0; for i=0:n-1 k1=y0-t0^2;

k2=(y0+h*k1/2)-(t0+h/2)^2; k3=(y0+h*k2/2)-(t0+h/2)^2;

k4=(y0+h*k3)-(t0+h)^2;

y1=y0+h*(k1+2*k2+2*k3+k4)/6;

t1=t0+h;

y0=y1;

t0=t1;

y(i+2)=y1;

t(i+2)=t1;

end

y1

t1

figure(1)

plot(t,y,'r');

xlabel('t');

ylabel('y');

(三)试求示例3分别在周期为5s的方波信号和脉冲信号下的响应,仿真时间20s,采样周期Ts=0.1。

实验程序如下:

clear

% Create system model

A=[-0.5572 -0.7814 ;0.7814 0];

B=[1;0];

C=[1.9691 6.4493];

D=0;

sys=ss(A,B,C,D);

% Pulse response of the system subplot(221)

[u,t]=gensig('pulse',5,20,0.1)

plot(t,u);

hold on

lsim(sys,u,t);

xlabel('t');

ylabel('Y');

title('Pulse response of the system'); hold off

grid

% Square response of the system subplot(222)

[u,t]=gensig('square',5,20,0.1)

plot(t,u);

hold on

lsim(sys,u,t);

xlabel('t');

ylabel('Y');

title('Square response of the system'); hold off

grid

四实验体会

这是第一次做matlab实验,通过实验,基本熟悉了matlab的基本操作,同时,也可以仿照所给例子来利用数值积分的函数进行解常值系统微分方程,在误差允许范围内,相对于elur法来说,代码更简单点。

实验二SIMULINK动态仿真

一、实验目的

1. 掌握SIMULINK动态仿真;

2. 熟悉MATLAB语言及应用环境

二、实验环境

网络计算机系统,MATLAB语言环境

三、实验内容

(一)Simulink的基本操作

(1)运行Simulink

(2)常用的标准模块

(3)模块的操作

(二)系统仿真及参数设置

(1)算法设置(Solver)

(2)工作空间设置(Workspace I/O)

某系统框图如图所示,试用SIMULINK进行仿真,并比较在无饱和非线性环节下系统仿真结果。

四、实验体会

通过本次实验,我体会到了simlink强大的仿真建模功能,通过仿真实验,我们可以观测到部分模块对系统整体的影响,从而可以更好的调节系统,使其工作在最佳状态。同时,本次实验也让我对matlab的使用进一步熟悉起来。

实验三PID控制器设计

一实验目的

1.了解PID控制原理,掌握相应PID控制器设计仿真程序的应用;

2.掌握计算机辅助系统瞬态性能指标的计算;

3.掌握计算机辅助系统频率性能分析;

二实验环境

网络计算机系统(新校区机电大楼D520),MATLAB语言环境

三实验内容

已知如图所示单位反馈系统

要求:

1.绘制系统的开环Nyquist图和Bode图,并判断该闭环系统是否稳定。

2.应用Ziegler—Nichols方法设计P控制器、PI控制器和PID控制器。

3.计算比较原系统与P控制系统、PI控制系统、PID控制系统的瞬态性能指标。实验程序:

clear clc

sys=tf([500 5000],[1 33 337 1775 4950 5000]);

figure(1)

nyquist(sys)

[Re,Im,w1]=nyquist(sys);

grid on figure(2)

bode(sys)

[mag,phase,w2]=bode(sys);

grid on

sysclose=feedback(sys,1);

[z,p,k]=zpkdata(sysclose,'v')

实验二

function [sysc,Kp,Ti,Td]=pidmargin(sys,type) margin(sys)

[Gm,Pm,Wg,Wc]=margin(sys);

Kcr=Gm;

Wcr=Wg;

Tcr=2*pi/Wcr;

switch type

case 1

disp('P Controler')

Kp=0.5*Kcr

Ti='No Design'

Td='No Design'

sysc=Kp;

case 2

disp('PI Controler')

Kp=0.4*Kcr

Ti=0.8*Tcr

Td='No Design'

sysc=Kp*(1+tf(1,[Ti,0]));

case 3

disp('PID Controler')

Kp=0.6*Kcr;

Ti=0.5*Tcr

Td=0.12*Tcr

sysc=Kp*(1+tf(1,[Ti,0])+tf([Td,0],1)); end

实验三

clf

num=[500 5000];

den=[1 33 337 1775 4950 5000]

sys=tf(num,den);

sysgroup=feedback(sys,1);

%Design P-PI -PIDControler

for i=1:3

type=i;

[sysc,Kp,Ti,Td]=pidmargin(sys,type);

sysopen=sysc*sys;

sysclose=feedback(sysopen,1);

sysgroup=append(sysgroup,sysclose);

end

clf

for i=1:4

subplot(2,2,i)

step(sysgroup(i,i))

step(sysgroup(i,i))

[num,den]=tfdata(sysgroup(i,i),'v')

Finalvalue=polyval(num,0)/polyval(den,0)

% Compute overshoot

[y,t]=step(sysgroup(i,i));

[Ymax,k]=max(y);

Peaktime=t(k)

OvershootPercent=100*(Ymax-Finalvalue)/Finalvalue

n=1;

while y(n)<0.1*Finalvalue,n=n+1;end

m=1;

while y(m)<0.9*Finalvalue,m=m+1;end

RiseTime=t(m)-t(n)

% Compute settling time

r=length(t);

while (y(r)>0.98*Finalvalue & y(r)<1.02*Finalvalue) r=r-1;

end

SettlingTime=t(r)

end

1

2

3

4

00.20.40.6

0.8

Step Response

Time (seconds)A m p l i t u d e

02468

0.5

1

Step Response

Time (seconds)A m p l i t u d e

2

4

6

8

0.51

1.5

Step Response

Time (seconds)A m p l i t u d e

012345

0.51

1.5

Step Response

Time (seconds)

A m p l i t u d e

实验心得体会

在第二个实验中主程序通过调用函数m 文件pidmargin.m ,实现了PID 控制器

的设计,并在单位阶跃函数作用下的系统输出,列于同一张表,便于分析;第三个实验在第二个实验的基础上,将系统在阶跃函数作用下的状态参数返回,从而实现经PID 控制器修正后的系统与原系统进行量化对比,显示校正效果。 本次实验,我学会了如何运用matlab 画系统的Bode 图和Nyquist 图,很大程度上简化了系统稳定性、动态特性等相关问题的求解。

实验四 模糊逻辑控制器设计

一、实验目的

4.了解模糊逻辑控制原理;

5.掌握MATLAB 辅助模糊逻辑控制器设计流程;

二、实验环境

网络计算机系统,MATLAB 语言环境

三、实验内容、要求

设有前后两车(目标车与本车),其速度y 与油门控制输入u 间的传递函数均为

4

27.024

)()(2+**+=s s s U s Y 现要求设计一模糊控制器,使得

1) 控制汽车(本车)由静止启动,追赶200m 外时速90km 的汽车(目标车)并

与其保持30m 距离。

2) 目标车速度改为时速110km 时,仍与其保持30m 距离。 3) 目标车速度改为时速70km 时,仍与其保持30m 距离。

四、实验步骤

第一步 利用simulink 构造系统仿真模型。

根据题意,可做出系统结构图如图1所示。图中,为了控制系统设计方便,将两车的距离相减后再减掉30,用模糊控制使其趋于0。

1 系统结构图

进一步,利用simulink构造了系统仿真模型,如图2所示。考虑到实际的汽车速度存在极限,系统模型中加入了饱和非线性模块进行模拟。图中的e和u除以20 是为了使输出量限定在10左右。然后,将系统模型以文件f-car.mdl保存。

图2 系统simulink仿真模型

第二步设计模糊逻辑规则(参考下文,不要自己再设计)。

以误差量(两车距离)及误差对时间的变化量作为输入进行模糊规则设计。本例采用位置型模糊控制器,即控制规则的条件为:if e为A and△e为B then u 为C。按一般方法,可得一套控制规律[ ]如表1所示

M=MEDIUM B=BIG

表1所示的控制规则库中尚有一些空缺,为了防止受控对象因为无效条件而

失控,可以将(e ,△e )按下式转换为极坐标(θ,r )

22e e r ?+=, )(cos 1r

e

-=θ

而将规则库填满,从而得到表2所示极坐标形式的模糊控制规则:

由上表可知,此例中,我们分别将

γ 分为 PB ZE NB

θ 分为 PB PM ZE NM NB y 分为 PB PM ZE NM NB

因此,根据该表可以写出15条规则。如,对应表中第二行、第三列的规则为: if (γis PB )and (θ is PM )then (y is PB ) 等等。

第三步 设计隶属函数(参考下文,不要自己再设计)。

对输入量θ,r 和输出量y ,均取三角形的隶属函数,具体范围与大小如图3和4所示:

图4 output的隶属度函数

第四步,根据前面的隶属度函数、控制规则,利用Toolox\Fuzzy Logic中的模糊推理系统编辑器,建立模糊推理系统(即生成FIS 文件)。

第五步,将FIS文件添加到第一步建立的模型文件中,完成汽车模糊逻辑控制系统的设计。然后仿真分析,输出曲线。

实验心得体会

本次实验很成功,利用模糊控制器做出了汽车的模拟图线,基本学会了如何运用软件进行模糊控制器的设计,模糊逻辑工具箱使得我们可以通过简单的逻辑规则设置便可以实现模糊控制,可以看到其还是基于反馈控制的。

控制系统数字仿真

现代工程控制理论 实验报告 实验名称:控制系统数字仿真技术 实验时间: 2015/5/3 目录 一、实验目的 (2) 二、实验容 (3)

三、实验原理 (3) 四、实验方案 (6) 1、分别离散法; (6) 2、整体离散法; (7) 3、欧拉法 (9) 4、梯形法 (9) 5、龙格——库塔法 (10) 五、实验结论 (11) 小结: (14) 一、实验目的 1、探究多阶系统状态空间方程的求解; 2、探究多种控制系统数字仿真方法并对之进行精度比较;

二、 实验容 1、 对上面的系统进行仿真,运用分别离散法进行分析; 2、 对上面的系统进行仿真,运用整体离散法进行分析; 3、 对上面的系统进行仿真,运用欧拉法进行分析; 4、 对上面的系统进行仿真,运用梯形法进行分析; 5、 对上面的系统进行仿真,运用龙泽——库塔法进行分 析; 6、 对上面的几种方法进行总计比较,对他们的控制精度分 别进行分析比较; 三、 实验原理 1、 控制系统状态空间方程整体离散法的求解; 控制系统的传递函数一般为 x Ax Bu Y Cx Du ? =+=+ 有两种控制框图简化形式如下: KI 控制器可以用框图表示如下:

惯性环节表示如下: 高阶系统(s)(1)n K G T = +的框图如下 对于上面的框图可以简写传递函数 x Ax Bu Y Cx Du ? =+=+ 根据各环节间的关系可以列写出式子中出现的系数A 、B 、C 和D ,下面进行整体离散法求传递函数的推导

00 ()0 ...*()...()(t)(0)...*(t)(0)(t)(0)()(0)At At At At At t t At t t A AT t AT A At t t At At A At A t x Ax Bu e e x e Ax e Bu d e x dt Bue dt dt e x Bue dt e x x Bue d e x x e e Bue d x x e Bue d t KT x kT x e τ ττ τττττ ? -? -----------=+=+=?=?=+=+?=+==????? ?①①得②③ ③得令()0 (1)(1)[(1)]0 (1)[(1)]0 ...(1)[(1)](0)...*(1)()(1)T (1)()()() ,kT A kT A kT k T A k T A k T AT k T AT A k T kT T T AT At AT At AT Bue d t K T x k T x e Bue d e x k e x k Bue d k t x k e x k e Budt e x k e Bdt u k e ττττττ τ?-+?++-++-+=++=+-+-=+-=+=+=+?Φ=? ? ? ??④ 令⑤ ⑤④得令令0 (1)()(1) T At m m e Bdt x k x k x k Φ=+=Φ?+Φ?+?得 这样,如果知道系数,就可以知道高阶系统的传递函数和状态空间方程。 2、 在控制系统的每一个环节都加一个采样开关,构成分别 离散法求解系统的状态空间方程; 采样开关其实是一个零阶保持器

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

控制系统数字仿真-上海交通大学

上海市高等教育自学考试 工业自动化专业(独立本科段)(B080603)控制系统数字仿真 (02296) 自学考试大纲 上海交通大学自学考试办公室编上海市高等教育自学考试委员会组编 2013年

I、课程的性质及其设置的目的和要求 (一)本课程的性质与设置的目的 “控制系统数字仿真”是利用数字计算进行各种控制系统分析、设计、研究的有力工具,是控制系统工程技术人员必须掌握的一门技术。 本课程是工业自动化专业的专业课程,也是一门理论和实际紧密结合的课程。 通过本课程的学习,学生能掌握系统仿真的基本概念、基本原理及方法;掌握基本的仿真算法及能用高级编程语言在微机上编程实现,学会使用常用的仿真软件。为学习后继课程、从事工程技术工作、科学研究以及开拓性技术工作打下坚实的基础。 (二)本课程的基本要求 1.要求掌握系统、模型、仿真的基本概念,这是学好仿真这门课程的概念基础。 2.掌握常用的连续系统数学仿真算法及能用某种高级编程语言上机实现。 3.初步掌握利用微机来分析、设计、研究控制系统的方法与仿真技术。 (三)本课程与相关课程的联系 先修课程:自动控制原理、现代控制理论基础、高级编程语言。

II、课程内容与考核目标 第1章概论 (一)学习目的和要求 通过本章学习,了解系统的概念,系统的分类方法及特点,仿真的应用目的。了解模型的基本概念,熟悉模型的分类方法及特点。掌握仿真的基本概念,仿真的分类方法及特点。熟悉仿真的一般步骤,仿真技术的应用,熟悉计算机仿真的三要素及基本活动。 (二)课程内容 第一节系统、模型与仿真 1.系统 2.模型 3.仿真 4.仿真科学与技术的发展沿革 第二节系统仿真的一般知识 1.相似理论 2.基于相似理论的系统仿真 3.系统仿真的类型 4.系统仿真的一般步骤 第三节仿真科学与技术的应用 1.仿真在系统设计中的应用 2.仿真在系统分析中的应用 3.仿真在教育与训练中的应用 4.仿真在产品开发及制造过程中的应用 第四节当前仿真科学与技术研究的热点 1.网络化仿真技术 2.复杂系统/开放复杂巨系统的建模与仿真

控制系统数字仿真题库

控制系统数字仿真题库 填空题 1.定义一个系统时,首先要确定系统的;边界确定了系统的范围,边界以外对系统的作用称为系统的,系统对边界以外环境的作用称为系统的。 1.定义一个系统时,首先要确定系统的边界;边界确定了系统的范围,边界以外对系统的作用称为系统的输入,系统对边界以外环境的作用称为系统的输出。 2.系统的三大要素为:、和。 2.系统的三大要素为:实体、属性和活动。 3.人们描述系统的常见术语为:、、和 3.人们描述系统的常见术语为:实体、属性、事件和活动。 4.人们经常把系统分成四类,分别为:、、和 4.人们经常把系统分成四类,它们分别为:连续系统、离散系统、采样数据系统和离散-连续系统。 5、根据系统的属性可以将系统分成两大类:和。 5、根据系统的属性可以将系统分成两大类:工程系统和非工程系统。 6.根据描述方法不同,离散系统可以分为: 和。 6.根据描述方法不同,离散系统可以分为:离散时间系统和离散事件系统。 7. 系统是指相互联系又相互作用的的有机组合。 7. 系统是指相互联系又相互作用的实体的有机组合。 8.根据模型的表达形式,模型可以分为和数学模型二大类,期中数学模型根据数学表达形式的不同可分为二种,分别为:和。8.根据模型的表达形式,模型可以分为物理模型和数学模型二大类,期中数学模型根据数学表达形式的不同可分为二种,分别为:静态模型和动态模型。 9.连续时间集中参数模型的常见形式为有三种,分别为:、和。 9.连续时间集中参数模型的常见形式为有三种,分别为:微分方程、状态方程和传递函数。 10、采用一定比例按照真实系统的样子制作的模型称为,用数学表达式来描述系 统内在规律的模型称为。 10、采用一定比例按照真实系统的样子制作的模型称为物理模型,用数学表达式来描述系统 内在规律的模型称为数学模型。 11.静态模型的数学表达形式一般是方程和逻辑关系表达式等,而动态模型的数学表达形式一般是方程和方程。 11.静态模型的数学表达形式一般是代数方程和逻辑关系表达式等,而动态模型的数

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

控制系统数字仿真自考题型举例与解答

控制系统数字仿真 题型举例与总复习 一、填空题 A类基本概念题型 1、系统是指相互联系又相互作用的实体的有机组合。 2、定义一个系统时,首先要确定系统的边界;边界确定了系统的范围,边界以外对系统的作用称为系统的输入,系统对边界以为环境的作用称为系统的输出。 3、系统的三大要素为:实体、属性和活动。 4、根据系统的属性可以将系统分成两大类:工程系统和非工程系统。 5、相似原理用于仿真时,对仿真建模方法的三个基本要求是稳定性、准确性和快速性。 6、根据模型种类不同,系统仿真可分为三种:物理仿真、数字仿真和半实物仿真。 7、按照系统模型特征分类,仿真可分为连续系统仿真及离散事件系统仿真两大类。 8、采用一定比例按照真实系统的样子制作的模型称为物理模型,用数学表达式来描述系统内在规律的模型称为数学模型。 9、计算机仿真是指将模型在计算机上进行试验的过程。 10、系统仿真的三个基本活动是系统建模、仿真建模和仿真试验,计算机仿真的三个要素为:系统、模型与计算机。 11、如果某数值计算方法的计算结果对初值误差和计算误差不敏感,则称该计算方法是稳定的。 12、数值积分法步长的选择应遵循的原则为计算稳定性及计算精度。 13、采样数值积分方法时有两种计算误差,分别为截断误差和舍入误差。 14、三阶隐式啊达姆氏算法的截断误差为O(?4),二阶龙格-库塔法的局部截断误差为O(?3),四阶龙格-库塔法的局部截断误差为O(?5)。 15、在判定数值积分方法的稳定域时,使用的测试方程为y?=μy。 16、龙格-库塔法的基本思想是用几个点上函数值的线性组合来避免计算函数的高阶导数,提高数值计算的精度。 17、连续系统仿真中常见的一对矛盾为计算速度和计算精度。 18、离散相似法在采样周期的选择上应当满足采样定理。 19、保持器是一种将离散时间信号恢复成连续信号的装置,零阶保持器能较好地再现阶跃信号,一阶保持器能较好地再现斜坡信号。 20、实际信号重构器不可能无失真地重构信号,具体表现为信号重构器会对被重构的信号产生相位的滞后和幅度的衰减。 21、一般将采样控制系统的仿真归类为连续系统仿真。 22、在控制理论中,由系统传递函数来建立系统状态方程的问题被称为“实现问题”。 23、常用的非线性环节包括:饱和非线性、失灵非线性、迟滞回环非线性。

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

控制系统数字仿真大作业.

《控制系统数字仿真》课程 大作业 姓名: 学号: 班级: 日期: 同组人员:

目录 一、引言 (2) 二、设计方法 (2) 1、系统数学模型 (2) 2、系统性能指标 (4) 2.1 绘制系统阶跃响应曲线、根轨迹图、频率特性 (4) 2.2 稳定性分析 (6) 2.3 性能指标分析 (6) 3、控制器设计 (6) 三、深入探讨 (9) 1、比例-微分控制器(PD) (9) 2、比例-积分控制(PI) (12) 3、比例-微分-积分控制器(PID) (14) 四、设计总结 (17) 五、心得体会 (18) 六、参考文献 (18)

一、引言 MATLAB语言是当今国际控制界最为流行的控制系统计算机辅助设计语言,它的出现为控制系统的计算机辅助分析和设计带来了全新的手段。其中图形交互式的模型输入计算机仿真环境SIMULINK,为MATLAB应用的进一步推广起到了积极的推动作用。现在,MATLAB语言已经风靡全世界,成为控制系统CAD领域最普及、也是最受欢迎的软件环境。 随着计算机技术的发展和应用,自动控制理论和技术在宇航、机器人控制、导弹制导及核动力等高新技术领域中的应用也愈来愈深入广泛。不仅如此,自动控制技术的应用范围现在已发展到生物、医学、环境、经济管理和其它许多社会领域中,成为现代社会生活中不可或缺的一部分。随着时代进步和人们生活水平的提高,在人类探知未来,认识和改造自然,建设高度文明和发达社会的活动中,控制理论和技术必将进一步发挥更加重要的作用。作为一个自动化专业的学生,了解和掌握自动控制的有关知识是十分必要的。 利用MATLAB软件及其SIMULINK仿真工具来实现对自动控制系统建模、分析与设计、仿真,能够直观、快速地分析系统的动态性能和稳态性能,并且能够灵活的改变系统的结构和参数,通过快速、直观的仿真达到系统的优化设计,以满足特定的设计指标。 二、设计方法 1、系统数学模型 美国卡耐尔基-梅隆大学机器人研究所开发研制了一套用于星际探索的系统,其目标机器人是一个六足步行机器人,如图(a)所示。该机器人单足控制系统结构图如图(b)所示。 要求: (1)建立系统数学模型; (2)绘制系统阶跃响应曲线、根轨迹图、频率特性; (3)分析系统的稳定性,及性能指标; (4)设计控制器Gc(s),使系统指标满足:ts<10s,ess=0,,超调量小于5%。

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

控制系统数字仿真题库

控制系统数字仿真题库 一、填空题 1. 定义一个系统时,首先要确定系统的边界;边界确定了系统的范围,边界以外对系统的作用称为系统的输入,系统对边界以为环境的作用称为系统的输出。 2.系统的三大要素为:实体、属性和活动。 3.人们描述系统的常见术语为:实体、属性、事件和活动。 4.人们经常把系统分成四类,它们分别为:连续系统、离散系统、采样数据系统和离散-连续系统。 5、根据系统的属性可以将系统分成两大类:工程系统和非工程系统。 6.根据描述方法不同,离散系统可以分为:离散时间系统和离散事件系统。 7. 系统是指相互联系又相互作用的实体的有机组合。 8.根据模型的表达形式,模型可以分为物理模型和数学模型二大类,其中数学模型根据数学表达形式的不同可分为二种,分别为:静态模型和动态模型。 9、采用一定比例按照真实系统的样子制作的模型称为物理模型,用数学表达式来描述系统内在规律 的模型称为数学模型。 10.静态模型的数学表达形式一般是代数方程和逻辑关系表达式等,而动态模型的数学表达形式一般是微分方程和差分方程。 11.系统模型根据描述变量的函数关系可以分类为线性模型和非线性模型。 12 仿真模型的校核是指检验数字仿真模型和数学模型是否一致。 13.仿真模型的验证是指检验数字仿真模型和实际系统是否一致。 14.计算机仿真的三个要素为:系统、模型与计算机。 15.系统仿真的三个基本活动是系统建模、仿真建模和仿真试验。 16.系统仿真根据模型种类的不同可分为:物理仿真、数学仿真和数学-物理混合仿真。 17.根据仿真应用目的的不同,人们经常把计算机仿真应用分为四类,分别为: 系统分析、系统设计、理论验证和人员训练。 18.计算机仿真是指将模型在计算机上进行实验的过程。 19. 仿真依据的基本原则是:相似原理。 20. 连续系统仿真中常见的一对矛盾为计算速度和计算精度。 21.保持器是一种将离散时间信号恢复成连续信号的装置。 22.零阶保持器能较好地再现阶跃信号。 23. 一阶保持器能较好地再现斜坡信号。 24. 二阶龙格-库塔法的局部截断误差为O()。 25.三阶隐式阿达姆斯算法的截断误差为:O()。

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

基于FPGA的现代数字系统设计实验报告

****大学 实验报告 课程名称:基于FPGA的现代数字系统设计 实验名称:基于HDL十进制计数显示系统设置 姓名: 学号: 班级: 指导教师: ****大学信息工程学院制

基于HDL十进制计数显示系统设置 一、实验要求 1.设计具有异步复位,同步使能的十进制计数器,其计数结果通过七段数码管,发光二极管等进行显示。 2.主要设计的模块有十进制计数模块和数码管驱动模块以及消抖模块。 3.需要将按键输入的时钟,然后通过消抖模块消抖后,再输出至后续使用。 4.共阴极数码管驱动。 二、实验原理 本次的设计是一个具有数显输出的十进制计数器。示意图如2.1所示。 图2.1 七段数码管属于数码管的一种,是由7段二极管组成。按发光二极管单元衔接方式分为共阳极数码管和共阴极数码管。本实验使用共阴数码管。它是指将一切发光二极管的阴极接到一同构成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平相应字段就点亮,当某一字段的阳极为低电平相应字段就不亮。 显示译码器,用HDL语言判断CLK的上升沿信号,每次收到一次上升沿信号,计数器的值加一并显示在数码管上,所以本次实验会将十进制计数与七段数码管的显示分别写在两个模块里面。 系统模块划分及引脚连线图如下:

三、实验步骤(设计输入) 1.十进制计数器模块 module cnt10(clk,clr,ena,sum,cout); input clk,clr,ena; output [3:0] sum; output cout; reg [3:0] sum; reg cout; always @ (posedge clk or posedge clr) if(clr==1) begin sum <= 4'b0000; cout<= 1'b0;end else if(ena==1'b1) if(sum==4'b1001) begin sum <= 4'b0000; cout<= 1'b1; end else begin sum <= sum+1; cout<= 1'b0; end else begin sum <= sum; cout<= cout; end Endmodule 程序主要思路是用always等待clk与clr的上升沿信号,因为是异步清零所以在always 中也要等待clr的清零信号。用if语句判断clr信号,为1则清零。判断ena信号,为1进行计数操作,为0输出保持不变。计数中,判断sum的值如果为9时,进行进位操作,并将sum值赋值为0 2.数码管驱动模块 module led7 (sum ,out ); input [3:0] sum; output [6:0] out; reg [6:0] out ; always @(sum) begin out = {7{1'b0}};

控制系统数字仿真与CAD第一二章习题答案

1-1什么是仿真?它所遵循的基本原则是什么? 答:仿真是建立在控制理论,相似理论,信息处理技术和计算技术等理论基础之上的,以计算机和其他专用物理效应设备为工具,利用系统模型对真实或假想的系统进行试验,并借助专家经验知识,统汁数据和信息资料对试验结果进行分析和研究,进而做出决策的一门综合性的试验性科学。 它所遵循的基本原则是相似原理。 1-2在系统分析与设计中仿真法与解析法有何区別?各有什么特点? 答:解析法就是运用已掌握的理论知识对控制系统进行理论上的分析,il?算。它是一种纯物理意义上的实验分析方法,在对系统的认识过程中具有普遍意义。由于受到理论的不完善性以及对事物认识的不全而性等因素的影响,其应用往往有很大局限性。 仿真法基于相似原理,是在模型上所进行的系统性能分析与研究的实验方法。 1-3数字仿真包括那几个要素?其关系如何? 答:通常情况下,数字仿真实验包括三个基本要素,即实际系统,数学模型与让算机。由图可见,将实际系统抽象为数学模型,称之为一次模型化,它还涉及到系统辨识技术问题,统称为建模问题:将数学模型转化为可在计算机上运行的仿真模型,称之为二次模型化,这涉及到仿真技术问题,统称为仿真实验。 1-4为什么说模拟仿真较数字仿真精度低?其优点如何?o 答:由于受到电路元件精度的制约和容易受到外界的下?扰,模拟仿真较数字仿真精度低 但模拟仿真具有如下优点: (1)描述连续的物理系统的动态过程比较自然和逼真。 (2)仿真速度极快,失真小,结果可信度髙。 (3)能快速求解微分方程。模拟汁算机运行时0运算器是并行工作的,模拟机的解题速度与原系统的复杂程度无关。 (4)可以灵活设置仿真试验的时间标尺,既可以进行实时仿真,也可以进行非实时仿真。 (5)易于和实物相连。 1-5什么是CAD技术?控制系统CAD可解决那些问题? 答:CAD技术,即计算机辅助设计(Computer Aided Design),是将计算机高速而精确的计算能力, 大容量存储和数据的能力与设讣者的综合分析,逻辑判断以及创造性思维结合起来,用以快速设计进程,缩短设计周期,提髙设计质量的技术。 控制系统CAD可以解决以频域法为主要内容的经典控制理论和以时域法为主要内容的现代控制理论。此外,自适应控制,自校正控制以及最优控制等现代控制测略都可利用CAD技术实现有效的分析与设计。 1-6什么是虚拟现实技术?它与仿真技术的关系如何? 答:虚拟现实技术是一种综合了计算机图形技术,多媒体技术,传感器技术,显示技术以及仿真技术等多种学科而发展起来的高新技术。 1-7什么是离散系统?什么是离散事件系统?如何用数学的方法描述它们? 答:本书所讲的“离散系统”指的是离散时间系统,即系统中状态变量的变化仅发生在一组离散时刻上的系统*它一般采用差分方程.离散状态方程和脉冲传递函数来描述。 离散事件系统是系统中状态变量的改变是由离散时刻上所发生的事件所驱动的系统。这种系统的输入输出是随机发生的,一般采用概率模型来描述。 1-8如图1-16所示某卫星姿态控制仿真实验系统,试说明: (1)若按模型分类,该系统属于那一类仿真系统? (2)图中“混合汁算机”部分在系统中起什么作用? (3)与数字仿真相比该系统有什么优缺点? 答:(1)按模型分类,该系统属于物理仿真系统“ (2)混合计算机集中了模拟仿真和数字仿真的优点,它既可以与实物连接进行实时仿真,计算一些复杂函数,又可以对控制系统进行反复迭代讣算。其数字部分用来模拟系统中的控制器,而模拟部分用于模拟控制对象。(4)与数字仿真相比,物理仿真总是有实物介入,效果逼真,精度高,具有实时性与在线性的特点, 但其构成复杂,造价较髙,耗时过长,通用性不强。

相关主题