搜档网
当前位置:搜档网 › 温度报警器传感器课程设计报告

温度报警器传感器课程设计报告

温度报警器传感器课程设计报告
温度报警器传感器课程设计报告

摘要

随着时代的进步和发展,单片机技术已经普及到我们生活、工作、科研、各个领域,已经成为一种比较成熟的技术, 本文主要介绍了一个基于 89S51 单片机的测温系统,详细描述了利用数字温度传感器

DS18B20 开发测温系统的过程,重点对传感器在单片机下的硬件连接,软件编程以及各模块系统流程进行了详尽分析,特别是数字温度传感器DS18B20 的数据采集过程。对各部分的电路也一一进行了介绍,该系统可以方便的实现实现温度采集和显示,并可根据需要任意设定上下限报警温度,它使用起来相当方便,具有精度高、量程宽、灵敏度高、体积小、功耗低等优点,适合于我们日常生活和工、农业生产中的温度测量,也可以当作温度处理模块嵌入其它系统中,作为其他主系统的辅助扩展。

DS18B20 与AT89C51 结合实现最简温度检测系统,该系统结构简单,抗干扰能力强,适合于恶劣环境下进行现场温度测量,有广泛的应用前景。

关键词:单片机报警系统

DS18B20 温度传感器数字温度计 AT89S52

目录

1、概述 (1)

1.1 课程设计的意义 (1)

1.2 设计的任务和要求 (1)

2、系统总体方案及硬件设计 (2)

2.1 数字温度计设计方案论证 (2)

2.1.1 方案一 (2)

2.1.2 方案二 (2)

2.2 系统总体设计 (3)

2.3 系统模块 (4)

2.3.1 主控制器 (4)

2.3.2 显示电路 (5)

2.3.3 温度传感器 (5)

2.3.4 报警温度调整按键 (6)

3、系统软件算法分析 (7)

3.1 主程序流程图 (7)

3.2 读出温度子程序 (7)

3.3 温度转换命令子程序 (8)

3.4 计算温度子程序 (8)

3.5 显示数据刷新子程序 (8)

3.6 按键扫描处理子程序 (9)

4、实验仿真 (10)

5、总结与体会 (11)

查考文献 (12)

附1 源程序代码 (13)

2 实物图 (20)

1 概述

1.1 课程设计的意义

本次课程设计是对于我们所学的传感器原理知识所进行的一次实际运用,通过自主的课程设计和实际操作,可增加我们自身的动手能力。特别是对温度传感这方面的知识有了实质性的了解,对进一步学习传感器课程起到很大的作用。本课程设计通过查阅相关资料,在老师和同学的帮助下完成,在锻炼了自我的同时也增强了自己的团队意识和团队协作精神。

1.2 设计的任务和要求

1、基本范围-50℃-110℃

2、精度误差小于0.5℃

3、LED 数码直读显示

4、可以任意设定温度的上下限报警功能

2 系统总体方案及硬件设计

2.1 数字温度计设计方案论证

2.1.1 方案一2.1.1

由于本设计是测温电路,可以使用热敏电阻之类的器件利用其感温效应,在将随被测温度变化的电压或电流采集过来,进行A/D 转换后,就可以用单片机进行数据的处理,在显示电路上,就可以将被测温度显示出来,这种设计需要用到A/D 转换电路,其中还涉及到电阻与温度的对应值的计算,感温电路比较麻烦。而且在对采集的信号进行放大时容易受温度的影响出现较大的偏差。

2.1.2 方案二

进而考虑到用温度传感器,在单片机电路设计中,大多都是使用传感器,所以这是非常容易想到的,所以可以采用一只温度传感器DS18B20,此传感器,可以很容易直接读取被测温度值,进行转换,电路简单,精度高,软硬件都以实现,而且使用单片机的接口便于系统的再扩展,满足设计要求。

从以上两种方案,很容易看出,采用方案二,电路比较简单,费用较低,可靠性高,软件设计也比较简单,故采用了方案二。

2.2 系统总体设计

温度计电路设计总体设计方框图如图1 所示,控制器采用单片机AT89S51,温度传感器采用DS18B20,用3 位LED 数码管以串口传送数据实现温度显示。

LED 显示

单片机复位

蜂鸣器,指示灯

AT89S51

报警温度调整键

DS18B20

时钟振荡温度传感器

图2.2—1总体设计方框图

图2.2—2 系统仿真图

2.3 系统模块

系统由单片机最小系统、显示电路、按键、温度传感器等组成。

2.3.1 主控制器2.3.1

单片机AT89S51 具有低电压供电和体积小等特点,四个端口只需要两个口就能满足电路系统的设计需要,很适合便携手持式产品的设计使用系统可用二节电池供电。晶振采用12MHZ。复位电路采用上电加按钮复位。

图2.3.1—1 晶振电路

图 2.3.1—2 复位电路

2.3.2 显示电路2.3.2

显示电路采用4 位共阴极LED 数码管,P0 口由上拉电阻提高驱动能力,作为段码输出并作为数码管的驱动。P2 口的低四位作为数码管的位选端。采用动态扫描的方式显示。

图 2.3.2 数码管显示电路

2.3.3 温度传感器2.3.3

DS18B20 温度传感器是美国DALLAS 半导体公司最新推出的一种改进型智能温度传感器,与传统的热敏电阻等测温元件相比,它能直接读出被测温度,并且可根据实际要求通过简单的编程实现9~12位的数字值读数方式。DS18B20 的性能特点如下:

1、独特的单线接口仅需要一个端口引脚进行通信;

2、多个DS18B20 可以并联在惟一的三线上,实现多点组网功能;

3、无须外部器件;

4、可通过数据线供电,电压范围为3.0~5.5V;

5、零待机功耗;

6、温度以9或12位数字;

7、用户可定义报警设置;8、报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件;9、负电压特性,电源极性接反时,温度计不会因发热而烧毁,但不能正常工作;DS18B20 可以采用两种方式供电,一种是采用电源供电方式,此时DS18B20 的1 脚接地,2 脚作为信号线,3 脚接电源。另一种是寄生电源供电方式,如图4 所示单片机端口接单线总线,为保证在有效的DS18B20 时钟周期内提供足够的电流,可用一个MOSFET 管来完成对总线的上拉。

当DS18B20 处于写存储器操作和温度A/D 转换操作时,总线上必须有强的上拉,上拉开启时间最大为10us。采用寄生电源供电方式时VDD 端接地。由于单线制只有一根线,因此发送接口必须是三态的。

图 2.3.3 温度传感器与单片机的连接

2.3.4 报警温度调整按键

本系统设计三个按键,采用查询方式,一个用于选择切换设置报警温度和当前温度,另外两个分别用于设置报警温度的加和减。均采用软件消抖

3 系统软件算法分析

系统程序主要包括主程序,读出温度子程序,温度转换命令子程序,计算温度子程序,显示数据刷新子程序,按键扫描处理子程序等。

3.1 主程序流程图

主程序的主要功能是负责温度的实时显示、读出并处理DS18B20 的测量的当前温度值,温度测量每1s 进行一次。这样可以在一秒之内测量一次被测温度,其程序流程见图3.1 所示。

初始化

读取温度

读出温度值温度

计算处理显示数

据刷新

发温度转换开始命令

调用显示子程序

N SET 键是

否按下Y

设置报警温度

图 3.1 主程序流程图

3.2 读出温度子程序

读出温度子程序的主要功能是读出RAM 中的9 字节,在读出时需进行CRC 校验,校验有错时不进行温度数据的改写。其程序流程图如图3.2 示

3.3 温度转换命令子程序

温度转换命令子程序主要是发温度转换开始命令,当采用12 位分辨率时转换时间约为750ms,在本程序设计中采用1s 显示程序延时法等待转换的完成。温度转换命令子程序流程图如上图,图 3.3 所示

发DS18B20 复位命令

发DS18B20 复位命令

发跳过ROM 命令

发跳过ROM 命令

发温度转换开始命令

发读取温度命令

结束

读取操作,CRC 校验

图 3.3 温度转换流程图

Y

N

9 字节完?

Y

N

CRC 校验正?

移入温度暂存器

结束

图 3.2 读温度流程图

3.4 计算温度子程序

计算温度子程序将RAM 中读取值进行BCD 码的转换运算,并进行温度值正负的判定,其程序流程图如图3.4 所示。

3.5 显示数据刷新子程序

显示数据刷新子程序主要是对分离后的温度显示数据进行刷新操作,当标志位位为1时将符号显示位移入第一位。程序流程图如图 3.5。

开始

N

温度零下?

Y

温度值取补码置“1”标志置“0”标志温度数据移入显示寄存器

分离显示温度

Y

标志位为1?

N

Y

计算小数位温度BCD 值

最高位显示“—”计算整数位温度BCD 值最高为显示分理出的数据

结束

结束

图 3.4 计算温度流程图图 3.5 显示数据刷新流程图

3.6 按键扫描处理子程序

按键采用扫描查询方式,设置标志位,当标志位为 1 时,显示设置温度,否则显示当前温度。如下图3.6 示。

SET 键按下

ADD 键是否按下N

DEC 键是

否按下

N

Y

报警温度加1

Y

报警温度减1

N

显示切换标志

位是否为“0”

Y

调用显示子程序

图 3.6 按键扫描处理子程序

4 实验仿真

进入protuse 后,连接好电路,并将程序下载进去。将DS18B20 的改为0.1,数码管显示温度与传感器的温度相同。

图4—1 温度显示仿真

当按下SET 键一次时,进入温度报警上线调节,此时显示软件设置的温度报警上线,ADD按或DEC 分别对报警温度进行加一或减一。

当再次按下SET 键时,进入温度报警下线调节,此时显示软件设置的温度报警下线,ADD按或DEC 分别对报警温度进行加一或减一。

图4—2 温度调试仿真

当第三次按下SET 键时,退出温度报警线设置。显示当前温度。

5 总结与体会

本次基于“ds18b20数字温度报警器”的传感器课程设计大致可以分为:资料收集→程序编辑→电路设计→模拟仿真→电板焊接。每个过程相辅相成,却又相互独立。

通过这次对数字温度计的设计与制作,让我了解了设计电路的程序,也让我了解了关于数字温度计的原理与设计理念,要设计一个电路总要先用仿真仿真成功之后才实际接线的。但是最后的成品却不一定与仿真时完全一样,因为,再实际接线中有着各种各样的条件制约着。而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功。所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。

通过一个一个步骤的跟进,让我对很多电子元器件的结构和基本特性有了一定的了解,对电路的实际操作让我对电路有了深刻的理解。焊接过程是一个很有趣的过程,通过小心翼翼的一个个引脚的焊接,最终成就我们的温度传感器,每一步都那么的谨慎以防与相邻的电路短接。在很大的程度上锻炼了我的耐心,同时也能够对整个电路设计及走向有一个深刻的了解、理解。

当然,由于种种原因:元器件缺失、系统本身及电路的影响等导致所得的结果不够精确,无法达到预想的理想状态,让人很是遗憾。从这次的课程设计中,我真真正正的意识到,在以后的学习中,要理论联系实际,把我们所学的理论知识用到实际当中,学习传感器更是如此,任何元件、程序等只有在反复的学习和使用过程中才能在运用过程中得心应手,这就是我在这次课程设计中的最大收获。

人民邮电出版社 2003 【6】杨恢先 黄辉先单片机原理及应用 参考文献

【5】赵云 曹经稳 赵春强常用电子元器件及应用电路 电子工业出版社 2011

【4】刘迎春 叶湘滨传感器原理 设计与应用 国防科技大学出版社 1998

【3】廖常初.现场总线概述[J ].电工技术, 北京航天航空大学出版社 2000

【2】薛庆军,张秀娟,单片机原理实验教程 北京航空航天大学出版社 1999

【1】马忠梅,张凯 单片机的 C 语言应用程序设计(第四版) 人民出版社

附 1 源程序代码

//DS18B20 的读写程序,数据脚 P2.7 //温度传感器 18B20 汇编程序,采用器件默认的 12 位转化 //为 0.1 度,显示采用 4 位 LED 共阳显示测温值

//P0 口为段码输入,P34~P37 为位选

//

//

//最大转化时间 750 微秒,显示温度-55 到+125 度,显示精度 //

// // /***************************************************/

#include "reg51.h"

#include "intrins.h"

#define dm P0

#define uchar unsigned char

#define uint

sbit DQ=P2^7;

sbit w0=P2^0;

sbit w1=P2^1;

sbit w2=P2^2;

sbit w3=P2^3;

sbit beep=P1^7;

sbit set=P2^6;

sbit add=P2^4;

sbit dec=P2^5;

int temp1=0;

uint h;

uint temp;

uchar r;

uchar high=35,low=20;

uchar sign;

uchar q=0;

uchar tt=0;

uchar scale;

//**************温度小数部分用查表法***********//

uchar code ditab[16]={0x00,0x01,0x01,0x02,0x03,0x03,0x04,0x04,0x05,0x06,0x06,0x07,0x08,0x08,0x09,0x09};

//小数断码表

uchar code table_dm[12]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x00,0x40};

//共阴 LED 段码表 "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "不亮" "-" unsigned int //温度输入口 //数码管 4 //数码管 3 //数码管 2 //数码管 1 //蜂鸣器和指示灯 //温度设置切换键 //温度加 //温度减 //显示当前温度和设置温度的标志位为 0 时显示当前温度 //_nop_();延时函数用 //段码输出口 uchar table_dm1[]={0xbf,0x86,0xdb,0xcf,0xe6,0xed,0xfd,0x87,0xff,0xef}; //个位带小数点的断码表 uchar data temp_data[2]={0x00,0x00};

uchar data display[5]={0x00,0x00,0x00,0x00,0x00}; //读出温度暂放 //显示单元数据,共 4 个数据和一个运算暂用 /*****************11us 延时函数*************************/

void delay(uint t)

{

for (;t>0;t--);

}

void scan()

{

int j;

for(j=0;j<4;j++)

{

switch (j)

{

case 0: dm=table_dm[display[0]];w0=0;delay(50);w0=1;//xiaoshu

case 1: dm=table_dm1[display[1]];w1=0;delay(50);w1=1;//gewei

case 2: dm=table_dm[display[2]];w2=0;delay(50);w2=1;//shiwei

case 3: dm=table_dm[display[3]];w3=0;delay(50);w3=1;//baiwei

//

}

}

}

//***************DS18B20 复位函数************************/ ow_reset(void)

{

char presence=1;

while(presence)

{

while(presence)

{

DQ=1;_nop_();_nop_();//从高拉倒低

DQ=0;

delay(50);

DQ=1;

delay(6);

presence=DQ;

}

delay(45);

presence=~DQ;

}

DQ=1;

}

/****************DS18B20 写命令函数************************/ //向 1-WIRE 总线上写 1 个字节 //拉高电平 //延时 500 us //66 us //presence=0 复位成功,继续下一步 //550 us else{dm=table_dm[b3];w3=0;delay(50);w3=1;}

void write_byte(uchar val)

{

uchar i;

for(i=8;i>0;i--)

{

DQ=1;_nop_();_nop_();

DQ=0;_nop_();_nop_();_nop_();_nop_();

DQ=val&0x01;

delay(6);

val=val/2;

}

DQ=1;

delay(1);

}

/****************DS18B20 读1 字节函数************************/ //从总线上取1 个字节

uchar read_byte(void)

{

uchar i;

uchar value=0;

for(i=8;i>0;i--)

{

DQ=1;_nop_();_nop_();

value>>=1;

DQ=0;_nop_();_nop_();_nop_();_nop_();

DQ=1;_nop_();_nop_();_nop_();_nop_();

if(DQ)value|=0x80;

delay(6);

}

DQ=1;

return(value);

}

/*****************读出温度函数************************/

read_temp()

{

ow_reset();

delay(200);

write_byte(0xcc);

write_byte(0x44);

ow_reset();

delay(1);

write_byte(0xcc); write_byte(0xbe); //发命令

//发命令

//发转换命令

//总线复位

//66 us

//4 us

//4 us

//从高拉倒低

//5 us

//最低位移出

//66 us

//右移1 位

temp_data[0]=read_byte(); temp_data[1]=read_byte(); temp=temp_data[1]; temp<<=8;

temp=temp|temp_data[0]; return temp;

}

//读温度值的第字节

//读温度值的高字节

// 两字节合成一个整型变量。//返回温度值

/****************温度数据处理函数************************/ //二进制高字节的低半字节和低字节的高半字节组成一字节,这个

//字节的二进制转换为十进制后, 就是温度值的百、十、个位值,而剩//下的低字节的低半字节转化成十进制后,就是温度值的小数部分

/********************************************************/ work_temp(uint tem)

{

uchar n=0;

if(tem>6348)

{tem=65536-tem;n=1;}

display[4]=tem&0x0f; display[0]=ditab[display[4]];

display[4]=tem>>4; display[3]=display[4]/100; display[1]=display[4]%100; display[2]=display[1]/10; display[1]=display[1]%10;

// 温度值正负判断

// 负温度求补码,标志位置1

// 取小数部分的值

// 存入小数部分显示值

// 取中间八位,即整数部分的值// 取百位数据暂存

// 取后两位数据暂存

// 取十位数据暂存

//个位数据

r=display[1]+display[2]*10+display[3]*100; /////符号位显示判断/////

if(!display[3])

{

display[3]=0x0a;

if(!display[2])

{

display[2]=0x0a;

}

}

if(n){display[3]=0x0b;} }

//负温度时最高位显示"-" //次高位为0 时不显示

//最高位为0 时不显示

void BEEP()

{

if((r>=high&&r<129)||r

{

beep=!beep;

}

else

{

beep=0;

}

}

//*********设置温度显示转换************// void xianshi(int horl)

{

int n=0;

if(horl>128)

{

horl=256-horl;n=1;

}

display[3]=horl/100;

display[3]=display[3]&0x0f;

display[2]=horl%100/10;

display[1]=horl%10;

display[0]=0;

if(!display[3])

{

display[3]=0x0a;

if(!display[2])

{

display[2]=0x0a;

}

}

if(n)

{

display[3]=0x0b; //负温度时最高位显示"-" }

//次高位为 0 时不显示 //最高位为 0 时不显示

}

//*********按键查询程序**************// void keyscan()

{

int temp1;

if(set==0)

{

while(1)

{

delay(500);//消抖

if(set==0)

{

temp1++; //最高温度和最低温度标志位

嵌入式课程设计温度传感器-课程设计(1)

@ 嵌入式系统原理与应用 课程设计 —基于ARM9的温度传感器· 学号:01** 班级:**************1班 姓名:李* 指导教师:邱* 、

课程设计任务书 班级: ************* 姓名: ***** 设计周数: 1 学分: 2 指导教师: 邱选兵 $ 设计题目: 基于ARM9的温度传感器 设计目的及要求: 目的: 1.熟悉手工焊锡的常用工具的使用及其维护与修理。 2.基本掌握手工电烙铁的焊接技术,能够独立的完成简单电子产品的安装与焊 接。熟悉电子产品的安装工艺的生产流程。 3.熟悉印制电路板设计的步骤和方法,熟悉手工制作印制电板的工艺流程,能 够根据电路原理图,元器件实物设计并制作印制电路板。 4.* 5.熟悉常用电子器件的类别、型号、规格、性能及其使用范围,能查阅有关的 电子器件图书。 6.能够正确识别和选用常用的电子器件,并且能够熟练使用普通万用表和数字 万用表。 7.掌握和运用单片机的基本内部结构、功能部件、接口技术以及应用技术。 8.各种外围器件和传感器的应用; 9.了解电子产品的焊接、调试与维修方法。 要求: 1.学生都掌握、单片机的内部结构、功能部件,接口技术等技能; 2.根据题目进行调研,确定实施方案,购买元件,并绘制原理图,焊接电路板, 调试程序; 3.} 4.焊接和写汇编程序及调试,提交课程设计系统(包括硬件和软件);. 5.完成课程设计报告 设计内容和方法:使用温度传感器PT1000,直接感应外部的温度变化。使用恒流源电路,保证通过PT1000的电流相等,根据PT1000的工作原理与对应关系,得到温度与电阻的关系,将得到的电压放大20倍。结合ARM9与LCD,将得到的

单片机课程设计报告——温度报警器

单片机原理与应用 课程设计报告 课程设计名称:温度报警器设计 专业班级:13计转本 学生姓名:张朝柱肖娜 学号:20130566140 20130566113 指导教师:高玉芹 设计时间:2016-11—2017-12 成绩: 信电工程学院

摘要 2009年6月14日随着时代的进步和发展,单片机技术已经普及到我们生活、工作、科研、各个领域,已经成为一种比较成熟的技术。 本文主要介绍了一个基于AT89C52单片机的测温系统,详细描述了利用液晶显示器件传感器DS18B20开发测温系统的过程,重点对传感器在单片机下的硬件连接,软件编程以及各模块系统流程进行了详尽分析,特别是数字温度传感DS18B20的数据采集过程。对各部分的电路也一一进行了介绍,该系统可以方便的实现实现温度采集和显示,并可根据需要任意设定上下限报警温度,它使用起来相当方便,具有精度高、量程宽、灵敏度高、体积小、功耗低等优点,适合于我们日常生活和工、农业生产中的温度测量,也可以当作温度处理模块嵌入其它系统中,作为其他主系统的辅助扩展。DS18B20与AT89C52结合实现最简温度检测系统,该系统结构简单,抗干扰能力强,适合于恶劣环境下进行现场温度测量,有广泛的应用前景。 关键词:单片机AT89C51;DS18B20温度传感器;液晶显示LCD1602。

目录 1绪论 (1) 1.1温度报警器简介 (1) 1.2温度报警器的背景与研究意义 (1) 1.3温度报警器的现状及发展趋势 (1) 2 系统整体方案设计 (2) 2.1 设计目标 (2) 2.2系统的基本方案 (2) 2.2.1 系统方案选择 (2) 2.2.2 各模块方案选择 (3) 2.3主要元器件介绍 (3) 2.3.1 STC89C52的简介 (3) 2.3.2 DS18B20的简介 (4) 3 系统的硬件设计与实现 (5) 3.1 系统硬件概述 (5) 3.2主要单元电路的设计 (5) 3.2.1键盘扫描模块电路的设计 (5) 3.2.2单片机控制模块电路的设计 (5) 3.2.3报警模块电路的设计 (6) 3.2.4 LCD1602显示模块电路的设计 (7) 4 系统的软件设计与实现 (8) 4.1 KEIL软件介绍 (8) 4.2系统程序设计流程图 (8) 4.2.1 主程序软件设计 (8) 4.2.2 按键软件设计 (9) 4.2.3 密码设置软件设计 (9) 4.2.4 开锁软件设计 (10) 5 系统仿真设计 (12) 5.1 Proteus 软件介绍 (12) 5.2 Proteus 仿真图 (12) 5.3 硬件调试 (13) 5.4 调试结果 (13) 6 结论 (14)

基于单片机设计的温度报警系统毕业设计

单片机设计的温度报警器

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

STM32-内部温度传感器-串口显示-完整程序

STM32F103 内部温度传感器用串口传递到PC上显示 程序如下: #include "stm32f10x.h" #include "stm32_eval.h" #include "stm32f10x_conf.h" #include #define DR_ADDRESS ((uint32_t)0x4001244C) //ADC1 DR寄存器基地址 USART_InitTypeDef USART_InitStructure; //串口初始化结构体声明ADC_InitTypeDef ADC_InitStructure; //ADC初始化结构体声明DMA_InitTypeDef DMA_InitStructure; //DMA初始化结构体声明__IO uint16_t ADCConvertedValue; // 在内存中声明一个可读可写变量用来存放AD的转换结果,低12 位有效 void ADC_GPIO_Configuration(void); static void Delay_ARMJISHU(__IO uint32_t nCount) { for (; nCount != 0; nCount--);} int main(void) { u16 ADCConvertedValueLocal; USART_https://www.sodocs.net/doc/2b17711602.html,ART_BaudRate = 115200; USART_https://www.sodocs.net/doc/2b17711602.html,ART_WordLength = USART_WordLength_8b;

USART_https://www.sodocs.net/doc/2b17711602.html,ART_StopBits = USART_StopBits_1; USART_https://www.sodocs.net/doc/2b17711602.html,ART_Parity = USART_Parity_No; USART_https://www.sodocs.net/doc/2b17711602.html,ART_HardwareFlowControl = USART_HardwareFlowControl_None; USART_https://www.sodocs.net/doc/2b17711602.html,ART_Mode = USART_Mode_Rx | USART_Mode_Tx; STM_EV AL_COMInit(COM1, &USART_InitStructure); /* Enable DMA1 clock */ RCC_AHBPeriphClockCmd(RCC_AHBPeriph_DMA1, ENABLE); DMA_DeInit(DMA1_Channel1); //开启DMA1的第一通道DMA_InitStructure.DMA_PeripheralBaseAddr = DR_ADDRESS; DMA_InitStructure.DMA_MemoryBaseAddr=(uint32_t)&ADCConve rtedValue; DMA_InitStructure.DMA_DIR = DMA_DIR_PeripheralSRC; //DMA的转换模式为SRC模式,由外设搬移到内存 DMA_InitStructure.DMA_BufferSize = 1; //DMA缓存大小,1个DMA_InitStructure.DMA_PeripheralInc = DMA_PeripheralInc_Disable; //接收一次数据后,设备地址禁止后移DMA_InitStructure.DMA_MemoryInc = DMA_MemoryInc_Disable; //关闭接收一次数据后,目标内存地址后移 DMA_InitStructure.DMA_PeripheralDataSize = DMA_PeripheralDataSize_HalfWord; //定义外设数据宽度为16位

温度传感器课程设计

: 温度传感器课程设计报告 专业:电气化 年级: 13-2 学院:机电院 { 姓名:崔海艳 学号:35 … ^ -- 目录

1 引言 (3) 2 设计要求 (3) 3 工作原理 (3) 4 方案设计 (4) … 5 单元电路的设计和元器件的选择 (6) 微控制器模块 (6) 温度采集模块 (7) 报警模块 (9) 温度显示模块 (9) 其它外围电路 (10) 6 电源模块 (12) 7 程序设计 (13) — 流程图 (13) 程序分析 (16) 8. 实例测试 (18) 总结 (18) 参考文献 (19) \

。 1 引言 传感器是一种有趣的且值得研究的装置,它能通过测量外界的物理量,化学量或生物量来捕捉知识和信息,并能将被测量的非电学量转换成电学量。在生活中它为我们提供了很多方便,在传感器产品中,温度传感器是最主要的需求产品,它被应用在多个方面。总而言之,传感器的出现改变了我们的生活,生活因使用传感器也变得多姿多彩。 温度控制系统广泛应用于社会生活的各个领域,如家电、汽车、材料、电力电子等,常用的控制电路根据应用场合和所要求的性能指标有所不同,在工业企业中,如何提高温度控制对象的运行性能一直以来都是控制人员和现场技术人员努力解决的问题。这类控制对象惯性大,滞后现象严重,存在很多不确定的因素,难以建立精确的数学模型,从而导致控制系统性能不佳,甚至出现控制不稳定、失控现象。传统的继电器调温电路简单实用,但由于继电器动作频繁,可能会因触点不良而影响正常工作。控制领域还大量采用传统的PID控制方式,但PID控制对象的模型难以建立,并且当扰动因素不明确时,参数调整不便仍是普遍存在的问题。而采用数字温度传感器DS18B20,因其内部集成了A/D转换器,使得电路结构更加简单,而且减少了温度测量转换时的精度损失,使得测量温度更加精确。数字温度传感器DS18B20只用一个引脚即可与单片机进行通信,大大减少了接线的麻烦,使得单片机更加具有扩展性。由于DS18B20芯片的小型化,更加可以通过单跳数据线就可以和主电路连接,故可以把数字温度传感器DS18B20做成探头,探入到狭小的地方,增加了实用性。更能串接多个数字温度传感器DS18B20进行范围的温度检测 2 设计要求

温度报警器设计

温度报警器设计报告 一、设计任务与要求: (1)温度报警器方案设计 温度0~100±1℃可测,小于10℃或大于30℃报警(LED亮) ①将被测温度(0~100℃)转换为电压值; ②小于10℃或大于30℃声、光报警(LED亮); ③可采用箔电阻组成测量电桥; 二、设计过程: 1.设计思路 设计中首先利用基于热电偶效应的温度传感器LM35采集温度后,转变为相应的电压值,再经过运算放大器LM358,将待测电压值放大、输出,以便于检测、显示及控制。显示电路是由A/D转换器及Led显示器构成的数字电路,控制电路是通过五个电压比较器与数字控制电路的组合来实现。报警电路以555振荡电路及扬声器等器件为基础构成组成。 2.方案设计 图1系统设计框图

如图1所示,系统由以下几部分构成: 温度测量电路、放大电路、电压比较电路、A/D转换电路、译码显示电路。 各部分电路的工作原理如下。 2.1对温度进行测量 首先通过温度传感器采集温度,将温度值转换为相应的电压值输出。 2.2温度控制 传感器的输出电压作为放大器输入信号,经同相运算放大电路进行放大后分别输出给多路电压比较器。 将要控制的温度所对应的电压值作为基准电压V REF,用实际测量值v i与 V REF进行比较,比较结果(输出状态)输入数字控制电路,调节系统温度。 本题对温度的限定较多,需采用四个电压比较器,配合数字控制电路,实现由输出电平的变化来控制数模转换电路。 。 3.单元电路设计 3.1温度传感器 LM35是电压输出型集成温度传感器,LM35集成温度传感器是利用一个热电阻检测相应的温度。LM35无需外部校准或微调,可以提供±1/4℃的常用的室温精度。 ?工作电压:直流4~30V; ?精度:0.5℃精度(在+25℃时); ?比例因数:线性+10.0mV/℃; ?非线性值:±1/4℃; ?使用温度范围:-55~+150℃额定范围。 引脚介绍:①正电源Vcc;②输出;③输出地/电源地。 传感器电路采用核心部件是LM35,供电电压为直流15V时,工作电流为120mA,功耗极低,在全温度范围工作时,电流变化很小。电压输出采用差动信号方式,由2、3引脚直接输出,电阻R为18K普通电阻,VD为1N4148。如图1。此电路适用于测温范围为-55~+150℃场合。LM35的线性度良好。 图2传感器电路原理图

温度报警器设计报告完整版

电子技术综合课程 设计 课程:电子技术综合课程设计 题目:温度报警器 所属院(系) 专业班级 姓名学号: 指导老师 完成地点 2011年月日

前言 电子技术综合课程设计是集电路分析、模拟电子技术、数字电子技术以及电路实验、模拟电子技术实验、数字电子技术实验等课程之后的一门理论与实践相结合的综合设计性课程。它包括选择课程、电子电路设计、组装、调试和编写总结报告等实践内容。它的开展是为了提高和增强我们学生对电子技术知识的综合分析与应用能力。这对于提高我们学生的电子工程素质和科学实验能力非常重要,是电子技术人才培养成长的必由之路。 本课程设计任务要求是完成一个温度报警器的制作,并实现当温度高于30℃时发出双音报警,温度低于10℃时发出单音报警的功能要求。本设计中充分展示了模拟电子技术的优点,利用放大电路、窗口比较器进行温度的判定,再结合数字电子技术的优点,充分利用单元电路的功能来实现报警,将模电、数电紧密结合,综合应用,不但对知识有了更进一步的掌握,提高了动手能力,,对于以后的就业打下了一定的基础。 通过课程设计实现以下三个目标: 第一,让学生初步掌握电子线路的试验、设计方法。即学生根据设计要求和性能参数,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能指标。 第二,课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法。 第三,培养勤于思考的习惯,设计并制作电子产类品,增强学生这方面的自信心及兴趣。 本课程设计以电工电子技术的基本理论为基础,着重掌握电路的设计装调及性能参数的调试方法。本课程设计应达到如下基本要求: (1)综合运用电子技术课程中所学的理论知识独立完成一个实际应用电路的设计。 (2)通过查阅手册和参考文献资料,培养独立分析和解决实际问题的能力。 (3)熟悉常用电子元器件的类型和特性,并掌握合理选用的原则。 (4)掌握电子电路的安装和调试技能。 (5)熟悉使用各类数字式电子仪器的规范使用方法。 (6)学会撰写课程设计论文。 (7)培养严肃认真的工作作风和严谨的科学态度。 (8)由于本次试验是分组完成,所以培养团结协作能力尤为重要。 此次课程设计中,不仅得到了指导老师的帮助和鼓励,而且还有同学们的互相支持和帮助,在此表示衷心的感谢!

基于STC89C51的温度报警器设计

河南理工大学自动化专业 单片机应用与仿真报告 学院: 班级: 姓名: 学号: 指导老师:

基于STC89C51的温度报警器设计 (14级自动化2班学号) 摘要:温度是日常生活中无时不在的物理量,温度的控制在各个领域都有积极的意义。很多行业中都有大量的用电加热设备,如用于热处理的加热炉,用于融化金属的坩锅电阻炉及各种不同用途的温度箱等,采用单片机对它们进行控制不仅具有控制方便、简单、灵活性大等特点,而且还可以大幅度提高被控温度的技术指标,从而能够大大提高产品的质量。因此,智能化温度控制技术正被广泛地采用。 关键词:温度控制单片机智能化控制 0引言 温度是一个十分重要的物理量,对它的测量与控制有十分重要的意义。随着现代工农业技术的发展及人们对生活环境要求的提高,人们也迫切需要检测与控制温度。温度控制电路在工农业生产中有着广泛的应用。日常生活中也可以见到,如电冰箱的自动制冷,空调器的自动控制等等。在工业生产中,温度、压力、流量和液位是四种最常见的过程变量。其中,温度是一个非常重要的过程变量。例如:在冶金工业、化工工业、电力工业、机械加工和食品加工等许多领域,都需要对各种加热炉、热处理炉、反应炉和锅炉的温度进行监控。然而,用常规的监控方法,潜力是有限的,难以满足较高的性能要求。采用单片机来对它们进行监控不仅具有监控方便、简单和灵活性大的优点,而且可以大幅度提高被测温度的技术指标,从而能够大大提高产品的质量和数量。因此,单片机对温度的监控问题是一个工业生产中经常会遇到的监控问题。现代社会是信息化的社会,随着安全化程度的日益提高,而通过温度报警器及时报警,避免不必要的损失。 1 STC89C51芯片特性 1.1简介 STC89C51是一种带4K字节闪烁可编程可擦除只读存储器的低电压、高性能CMOS8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦除100次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,A TMEL 的89C51是一种高效微控制器。STC89C51单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 1.2电路图 STC89C51电路图如图1所示。 图1 STC89C51电路图 1.3芯片擦除 整个PEROM阵列和三个锁定位的电擦除可通过正确的控制信号组合,并保持ALE 管脚处于低电平10ms 来完成。在芯片擦操作中,代码阵列全被写“1”且在任何非空存储字节被重复编程以前,该操作必须被执行。 此外,89C51设有稳态逻辑,可在低到零频率的条件下静态逻辑,支持两种软件可选的掉电模式。在闲置模式下,CPU停止工作,但RAM ,定时器,计数器,串口和中

温度报警器文开题报告

毕业设计(论文)开题报告 题目: 基于51单片机温度报警器的设计 英文题目:51 MCU-based design of a temperature alarm 系别: 信息工程系 专业: 电子信息工程 班级: 07电信本 学号: 8051107031 姓名: 李杰 指导老师: 王金平 填表日期: ____ 2010-12-18__________ 一、选题的依据及意义: 温度是一个十分重要的物理量,对他的测量与控制有十分重要的意义,随着现代工

农业技术的发展及人们对生活环境要求的提高,人们也迫切需要检测与控制温度。本文 通过采用压电陶瓷蜂鸣片作为电声元件的温度报警器的设计与制作,阐明了该装置进行设计与制作的具体过程及方法。这种温度报警器结构简单,可操作性强,应用广泛。工作时,温度测量范围为10——30oC。当前环境温度若超过设定的高温临界温度,由单片机发出报警信号并驱动继电器使风扇电机转动,从而防止因温度升高而带来的不必要的损失。 现代社会是信息社会,随着安全化程度的日益提高,机房——作为现代化的枢纽,其安全工作已成为重中之重,机房内一旦发生故障,将导致整个系统瘫痪,造成巨大的损失很社会影响。 造成高温火灾有:电气线路短路、过载、接触电阻过大等引发高温或火灾;静电产生高温或或火灾;雷电等强电侵入导致高温或火灾;最主要是机房内电脑、空调等用电设备长时间工作,导致设备老化,空调发生故障,而不能降温;因此机房内所属的电子产品发热快,在短时间内机房温度升高超出设备正常温度,导致系统瘫痪或产生火灾,这时温度报警系统就会发挥应有的功能。 本文介绍的是采用温度传感器DS18B20的温度报警器,自动测量当前环境温度,由单片机8051控制,并通过四位7段数码管显示,若当前环境温度超过此温度,系统发 出报警。 二、国内外研究现状及发展趋势: 由于现实生活中天然气泄漏、温度超限和失窃、加上以前通信网络的不完善,对于人们的生命财产有着很大的危害。现在随着通信技术和电子技术的飞速发展,电子信息技术与社会经济生活,生产的关系越来越密切,对社会经济的发展起着越来越大的作用。如果主任离开家去上班,家里失窃也不会知道。如果能实现远程报警,使报警器智能化,这将会给人们的生活带来极大的方便。 我们用89C51为核心的自动报警器解决人们的担心,给人们创造一个安全可靠的生 活环境! 三、本课题研究内容: 温度控制系统的核心是温度传感器和单片机,本文将介绍智能集成温度传感器DS18B20的结构特征及控制方法,以及用单片机AT89C51的编程实现温度测量。 DS18B20的性能特点:①采用单总线专用技术,既可通过串行口线,也可通过其它I/O口线与微机接口,本文将介绍智能集成温度传感器DS18B20的结构特征及控制方法。 无须经过其它变换电路,直接输出被测温度值(9位二进制数,含符号位)。②测温范围为-55℃~+125℃,在-10~+85°C范围内,精度为±0.5°C,可以程序设定9~12位的分辨率(测量分辨率为0.0625℃)。③内含64位经过激光修正的只读存储器ROM。④适 配各种单片机或系统机。⑤用户可分别设定各路温度的上、下限。⑥内含寄生电源。四、本课题研究方案:

温度传感器原理

一、温度传感器热电阻的应用原理 温度传感器热电阻是中低温区最常用的一种温度检测器。它的主要特点是测量精度高,性能稳定。其中铂热是阻的测量精确度是最高的,它不仅广泛应用于工业测温,而且被制成标准的基准仪。 1.温度传感器热电阻测温原理及材料 温度传感器热电阻测温是基于金属导体的电阻值随温度的增加而增加这一特性来进行温度测量的。温度传感器热电阻大都由纯金属材料制成,目前应用最多的是铂和铜,此外,现在已开始采用甸、镍、锰和铑等材料制造温度传感器热电阻。 2.温度传感器热电阻的结构

(1)精通型温度传感器热电阻工业常用温度传感器热电阻感温元件(电阻体)的结构及特点见表2-1-11。从温度传感器热电阻的测温原理可知,被测温度的变化是直接通过温度传感器热电阻阻值的变化来测量的,因此,温度传感器热电阻体的引出线等各种导线电阻的变化会给温度测量带来影响。为消除引线电阻的影响同般采用三线制或四线制,有关具体内容参见本篇第三章第一节. (2)铠装温度传感器热电阻铠装温度传感器热电阻是由感温元件(电阻体)、引线、绝缘材料、不锈钢套管组合而成的坚实体,如图2-1-7所示,它的外径一般为φ2~φ8mm,最小可达φmm。 与普通型温度传感器热电阻相比,它有下列优点:①体积小,内部无空气隙,热惯性上,测量滞后小;②机械性能好、耐振,抗冲击;③能弯曲,便于安装④使用寿命长。

(3)端面温度传感器热电阻端面温度传感器热电阻感温元件由特殊处理的电阻丝材绕制,紧贴在温度计端面,其结构如图2-1-8所示。它与一般轴向温度传感器热电阻相比,能更正确和快速地反映被测端面的实际温度,适用于测量轴瓦和其他机件的端面温度。 (4)隔爆型温度传感器热电阻隔爆型温度传感器热电阻通过特殊结构的接线盒,把其外壳内部爆炸性混合气体因受到火花或电弧等影响而发生的爆炸局限在接线盒内,生产现场不会引超爆炸。隔爆型温度传感器热电阻可用于Bla~B3c级区内具有爆炸危险场所的温度测量。 3.温度传感器热电阻测温系统的组成 温度传感器热电阻测温系统一般由温度传感器热电阻、连接导线和显示仪表等组成。必须注意以下两点: ①温度传感器热电阻和显示仪表的分度号必须一致

温度传感器课程设计

温度传感器课程设计报告 专业:电气化___________________ 年级:13-2 学院:机电院 姓名:崔海艳 ______________ 学号:8021209235 目录 1弓I言................................................................... ..3

2设计要求................................................................. ..3 3工作原理................................................................. ..3 4 方案设计 ................................................................ ..4 5单元电路的设计和元器件的选择.............................................. ..6 5.1微控制器模块........................................................... .6 5.2温度采集模块...................................................... .. (7) 5.3报警模块.......................................................... .. (9) 5.4 温度显示模块..................................................... .. (9) 5.5其它外围电路........................................................ (10) 6 电源模块 (12) 7程序设计 (13) 7.1流程图............................................................... (13) 7.2程序分析............................................................. ..16 8.实例测试 (18) 总结.................................................................... ..18 参考文献................................................................ ..19

(完整版)基于51单片机的温度报警器设计毕业设计

『2014届』 题目基于51单片机的温度报警器设计姓名我 学号 专业班级通信电子技术 指导教师于先生

2014年12月3日

毕业论文任务书 主要实现:实时温度测量及显示,超出温度范围声光报警,上下限温度可通过按键设定等功能。 本数字温度报警器是基于51单片机及温度传感器DS18B20来设计的,温度测量范围0到99.9摄氏度,精度为0.1摄氏度,可见测量温度的范围广,精度高的特点。可设置上下限报警温度,默认上限报警温度为38℃、默认下限报警温度为5℃(通过程序可以更改上下限值)。报警值可设置范围:最低上限报警值等于当前下限报警值,最高下限报警值等于当前上限报警值。将下限报警值调为0时为关闭下限报警功能。

目录 前言 ............................................................................................................................................................... 1 设计要求与方案论证 ............................................................................................................................... 1.1 设计要求 ....................................................................................................................................... 1.2 系统基本方案选择和论证 ........................................................................................................... 1.2.1 单片机芯片的选择方案和论证 ....................................................................................... 1.2.2 温度传感器设计方案论证 ............................................................................................... 1.2.3 掉电保持方案论证 ........................................................................................................... 1.3 电路设计最终方案决定 ............................................................................................................... 2 主要元件介绍 ........................................................................................................................................... 2.1 STC89C51介绍 .............................................................................................................................. 2.1.1 STC89C51主要功能及PDIP封装 .................................................................................... 2.1.2 STC89C51引脚介绍 .......................................................................................................... 2.1.3 单片机最小系统: ........................................................................................................... 2.2 DS18B20传感器介绍 .................................................................................................................... 2.2.1 DS18B20概述 .................................................................................................................... 2.2.2 DS18B20引脚介绍 ............................................................................................................ 2.2.3 DS18B20的内部结构 ........................................................................................................ 2.2.4 DS18B20的程序流程图 .................................................................................................... 2.3 数码管介绍 ................................................................................................................................... 2.3.1 数码管概述 ....................................................................................................................... 2.4 AT24C02简介 ................................................................................................................................

温度报警器仿真

模拟电路基础课程设计报告 温度报警电路的设计与仿真 姓名:FD 学号:----- 背景与简介: 本项目的目标是设计一个温度监测与报警电路。人们的生活 与坏境温度息息相关,物理、化学、生物等科学都离不开温度,太阳能热水器、电力、石油、农业大棚经常需要对环境温度进行检测,并根据实际的要求对温度进行控制。例如,在醋和酒等的酿造生产中必须对发酵过程的温度进行检测与控制;许多太阳能热水器中,需要通过温度检测来控制其水泵运作;在农业大棚中,通过温度检测来判断

是否合适农作物种植与生长;许多电子设备都有额定温度单位, 合适的温度会使电子产品造成故障等等。 已知条件: 温度为25C时,所有电阻的阻值为400Q 温度每上升1C, Rt的阻值下降0.01 Q 2.数字电压表:2V满量程,3位半 3.发光二极管:正常发光时正向电流为2~10mA 设计要求: 1.温度为0C时,数字电压表的指示为0.000V 2.温度为100C时,数字电压表的指示为1.000V 3.温度低于30C或高于40C时,点亮发光二极管报警 4 .温度监测与报警误差<士2C 分析: 1.由已知条件知:Rt与温度T的关系为: Rt=400.25Q -0.01T ;没有

由于Multisim12.0软件里面没有热敏电阻,根据上面的关系式,把Rt 替换成一只399.25 Q与一个1 Q的电位器串联,从而模拟由于温度改变引起的Rt的阻值变化。 2.根据设计要求1和2: 温度为0C时,数字电压表的指示为0.000V,即Rt=400.25 Q时, 电压表示数为0.000V;温度为100C时,数字电压表的指示为1.000V, 即 Rt=399.25 Q时,电压表示数为1.000V; 3.根据设计要求3: 温度低于30C或高于40C时,点亮发光二极管报警,即电压小于0.3V或大于0.4V时,输出逻辑高电平,使发光二极管应导通;则此时显然因选用的比较器为窗口比较器。 4.根据设计要求4: 温度监测与报警误差<士2C,则所选用运放应具有低失调。 系统方案设计与仿真: 一:系统框图

温度传感器课程设计报告1

温度传感器的特性及应用设计 集成温度传感器是将作为感温器件的晶体管及其外围电路集成在同一芯片上的集成化温度传感器。这类传感器已在科研,工业和家用电器等方面、广泛用于温度的精确测量和控制。 1、目的要求 1.测量温度传感器的伏安特性及温度特性,了解其应用。 2.利用AD590集成温度传感器,设计制作测量范围20℃~100℃的数字显示测温装置。 3.对设计的测温装置进行定标和标定实验,并测定其温度特性。 4.写出完整的设计实验报告。 2、仪器装置 AD590集成温度传感器、变阻器、导线、数字电压表、数显温度加热设备等。 3、实验原理 AD590 R=1KΩ E=(0-30V) 四、实验内容与步骤 ㈠测量伏安特性――确定其工作电压范围 ⒈按图摆好仪器,并用回路法连接好线路。 ⒉注意,温度传感器内阻比较大,大约为20MΩ左右,电源电 压E基本上都加在了温度传感器两端,即U=E。选择R4=1KΩ,温度传感器的输出电流I=V/R4=V(mV)/1KΩ=│V│(μA)。

⒊在0~100℃的范围内加温,选择0.0 、10.0、20.0……90.0、100.0℃,分别测量在0.0、1.0、2.0……25.0、30.0V时的输出电流大小。填入数据表格。 ⒋根据数据,描绘V~I特性曲线。可以看到从3V到30V,基本是一条水平线,说明在此范围内,温度传感器都能够正常工作。 ⒌根据V~I特性曲线,确定工作电压范围。一般确定在5V~25V为额定工作电压范围。 ㈡测量温度特性――确定其工作温度范围 ⒈按图连接好线路。选择工作电压为10V,输出电流为I=V/R4=V(mV)/1KΩ=│V│(μA)。 ⒉升温测量:在0~100℃的范围内加热,选择0.0 、10.0、 20.0……90.0、100.0℃时,分别同时测量输出电流大小。将数据填入数据表格。 注意:一定要温度稳定时再读输出电流值大小。由于温度传感器的灵敏度很高,大约为k=1μA/℃,所以,温度的改变量基本等于输出电流的改变量。因此,其温度特性曲线是一条斜率为k=1的直线。 ⒊根据数据,描绘I~T温度特性曲线。 ⒋根据I~T温度特性曲线,求出曲线斜率及灵敏度。 ⒌根据I~T温度特性曲线,在线性区域内确定其工作温度范围。 ㈢实验数据: ⒈温度特性

温度传感器报警器课程设计

《传感器课程设计报告》题目:温度报警器 学院: 专业: 班级: 姓名: 指导教师: 2010年07月02日

目录 1 设计目的 (1) 2 设计题目 (1) 3 课程设计内容及要求 (1) 4 设计总结、心得 (4) 5 参考书目 (5)

一、设计目的 通过课程设计使学生对传感器应用技术的知识有全面的掌握,加深对该课程知识的理解,培养学生综合运用所学理论知识分析和解决实际问题的能力,也是对前期理论与实践教学效果的检验。通过课程设计使学生对工程设计有初步的认识,增强学生的识图、绘图能力,培养学生独立工作的能力。通过本次设计使学生熟悉工程设计的思维方式和步骤,并了解如何进一步根据确定的设计方案选择元器件,使设计的方案在功能上和经济上均可行。 二、设计题目 温度报警器, 当温度高于某值时,自动发出声光报警。 三、课程设计内容及要求 1 设计方案的选定与说明 结构图 根据传感器的原理构成和设计需要,各部分元件分别选用下列元器件: 测温电路由敏感元件、转换元件和测量电路构成,测量电路选用电桥,辅助电源选用直流电源。 敏感元件:负温度系数热敏电阻。 转换元件:负温度系数热敏电阻将温度转换成电量 。 测量电路的种类:电桥。电桥法方便、准确。 辅助电源的种类:15伏特直流稳压电源、220交流电源。 测温电路 报警电路 比较放大器 辅助电源

2 论述方案的各部分工作原理 当温度上升时,Rt电阻阻值减小,电桥不平衡,输出电压量减小,送给比较放大器,当送给比较放大器的电压量低于给定值时,比较放大器输出电压为低电平,晶闸管关断,原来被短路的报警回路工作,电路灯亮、铃响,报警电路报警。 3 设计方案的图表; 1)温度测量 + - 当温度变化时,Rt电阻阻值也随之变小,电桥对臂乘积不等,电桥不平衡,输出电量增加,由公式{ U0=(U i/4)*(△R t/R1),U i=[15/(R5+R6)]*R6 }算得输出电压U0,送入比较放大器,进行比较。 2)比较放大器 正端电压由测量电路送来,即电桥输出电压U0 ,当U0大于负端时,比较放

温度报警器文献综述

毕业设计(论文)文献综述 题目:____ 基于51单片机温度报警器的设计 英文题目:__ 51 MCU-based design of a temperature alarm 系 : __ 信息工程系 专业: ___ 电子信息工程_________ 班级: ____ _07电信本____________ 学号: ____ 8051107031________ 姓名: _______ 滕杰_________ 指导老师: ______ 谭金平______________ 填表日期: ____ 2010.12.18________ 一、前言部分:

随着人民生活水平的不断提高,单片机控制无疑是人们追求的目标之一,它所给人 带来的方便是不可否定的,其中温度报警器就是一个典型的例子,但人们对他的要求越来越高,要为现代人工作、科研、生活提供更方便的设施就需要从单片机技术入手,一切向着数字化控制、智能化方向发展。由单片机控制的温度报警系统就是一个典型事例。 单片机温度报警系统的温感系统主要是DS18B20芯片,该芯片由一根总线控制,电压范围为3.0v--5.5v,而且具有测温方便,测温范围广,而且还可以手动设置报警温度点,随意调高或调低,最主要的是可定义报警设置,报警搜索命令识别并标志超过预置报警温度自动报警,出于对此类问题的探索,我们设计并制作了此温度报警系统。 本设计所介绍的数字温度计与传统的温度计相比,具有读数方便,测温范围广,测温准确。其输出温度采用数字显示,主要用于对测温比较准确的场所,该设计控制器主要使用AT89C51,测温传感器使用DS18B20;显示用1602或12864液晶显示屏,内置 有AT24C02芯片,可以方便记录以前显示的温度值。 二、主题部分: 采用单总线数字温度传感器DS18B20测量温度,直接输出数字信号。便于单片机处理及控制,节省硬件电路。且该芯片的物理化学性很稳定,此元件线形性能好,在0~100℃时,最大线形偏差小于1摄氏度。DS18B20的最大特点之一采用了单总线的数据传输,由数字温度计DS1820和微控制器AT89S52构成的温度测量装置,它直接输出温度的数字信号到微控制器。每只DS18B20具有一个独有的不可修改的64位序列号,根据序列号可访问不同的器件。这样一条总线上可以挂接多个DS18B20传感器,实现多点温度测量,轻松的组建传感网络。DS18B20温度传感器是美国DALLAS半导体公司最新推出的一种改进型智能温度传感器,与传统的热敏电阻等测温元件相比,它能直接读出被测温度,并且可根据实际要求通过简单的编程实现9~12位的数字值读数方式。 当温度在10-30℃范围内,报警器不发出声音。当温度超出这个范围时,报警器发出声音,并可根据不同的音调区分温度的高低,系统发出报警并控制风扇电机转动。 DSI8B20的主要性能特点如下: .具有独特的单线接口方式,DS18B20与微处理器连接时仅需要一条口线即可实现微处理器与DS18B20的双向通讯。 .在使用中不需要任何外围元件。 .可用数据线供电,电压范围为 3.0~5.5V。测温范围为-55—+125℃,测温分辨率为0.5℃。 .通过编程可实现9~12位的数字读数方式。 .用户可自己设定非易失性的报警上下门限值,并支持多点组网功能,多个Dsl8B20可以并联在唯一的三线上来实现多点测温。 .具有独特的负压特性,电源极性接反时,温度计不会因发热而烧毁,但不能正常

相关主题