搜档网
当前位置:搜档网 › FPGA黑金开发板使用指南

FPGA黑金开发板使用指南

FPGA黑金开发板使用指南
FPGA黑金开发板使用指南

1

技术支持

我们技术支持是通过论坛进行的,如果您有任何与小黑有关的技术问题,请发帖到黑金动力社区的FPGA黑金开发板专栏,我们有工程师负责为您解决相关问题。同时,教程、视频、资料等更新,全部通过黑金动力社区网站来进行发布,敬请关注。网址:https://www.sodocs.net/doc/2d13572104.html,

常见问题解答

在论坛中,我们建立了一个归纳贴,将常见的问题都收集在了这个贴下面,如遇到问题,请大家先去里面查看,如果没有解决,再在相应的板块提问。网址:https://www.sodocs.net/doc/2d13572104.html,/thread-9470-1-1.html

官方博客

黑金动力社区官方博客负责发布相关技术博文,产品信息,及各种资料的更新,地址:https://www.sodocs.net/doc/2d13572104.html,

官方淘宝店

黑金官方淘宝店负责销售有黑金动力社区设计研发的各种产品,如有需要请登录黑金动力社区官方淘宝店,地址:https://www.sodocs.net/doc/2d13572104.html,

一、产品图片

2

二、致谢

感谢您选购了由黑金动力社区研发设计的FPGA黑金开发板。从此以后,FPGA黑金开发板(以后简称小黑)将陪伴您一起走进FPGA的世界,希望小黑

能够成为您在FPGA学习路途中的好伙伴、好助手、好兄弟。

三、内容简介

小黑是由黑金动力社区的黑金研发团队精心设计出来的。为了让小黑能在您的学习过程中助您一臂之力,我们的工程师为小黑配备了很多的资料。下面我们

就给您介绍一下我们的这些资料。

我们为小黑配套了两张DVD光盘(光盘A、光盘B),光盘A中主要包含配套的原理图、教程、源代码、脚本文件以及开发软件,光盘B中主要包含视

频教程等内容。

3

光盘B的根目录如下表所示:

两张DVD光盘的内容通过上述表格大家可以大概了解了。接下来,我将结合两张光盘的内容来带领大家一步一步的来了解开发FPGA的前期工作,让大家可以尽快上手使用小黑。

四、收货与检测

当您收到小黑以后,第一件事当然是好好观摩一下小黑的“容颜”了。如果发现小黑由于运输过程中被“毁容”了,请立刻跟我们的客服联系。

接下来就是上电检测了。小黑在出厂之前,已经经过了多轮的严格测试,而且有DEMO程序下载到FPGA中(下载的程序是光盘A中的DEMO_N文件夹下的NIOS II测试程序)。

在黑金套件中,附带了5V电源,如下图所示

4

将5V电源一端插到220V的电源插座,另一端插到小黑的电源插口,然后按下开关。上电之后,开发板就会自动运行,您可以观察到以下现象: 电源指示灯(LED6)常亮;

数码管从000000开始计数,加1累加;

LED进行流水灯操作;

串口指示灯TXD不停闪烁;

网口两个指示灯同步闪烁;

液晶屏有如下内容显示:

您按下的按键为:上↑

欢迎使用黑金开发板!

O(∩_∩)O~

10-03-17 14:19:00 星期日

第一行是按键测试程序显示,默认显示为上↑,当您拿下其他按键的时候,液晶屏会有相应方向显示。

第四行为实时时钟显示,默认为

10-03-17 14:19:00 星期日。重新上电以后,时间还会默认显示,这是因为此开发板没有安装实时时钟的备用电池(由于我们发送的快递为顺丰航空件,因此快递公司禁止包裹中携带电池)。如果您想安装电池,请将保护板打开,然后将核心板与底板分离,即可看到电池座,电池型号为CR1220。

出现上述现象以后,小黑的主要部件检测完成。其余未用到的部件,将会在后续的试验中都得到验证。

五、软件安装

接下来的工作就是要开始安装软件了。ALTERA公司的FPGA开发环境是

5 Quartus II,我们所使用的版本是9.0,如果想要开发NIOS II,还需要安装NIOS II IDE,他的版本要跟Quartus II版本一致,这个是必须的,不然在编译过程中,NIOS II IDE 会产生错误。

使用的操作系统,推荐是兼容性最好的Windows XP系统。虽然WIN7也可以使用,不过鉴于WIN7系统与NIOS II IDE的兼容性存在问题,还是建议使用XP 系统,这样会省去很多的麻烦事。

软件的安装过程,我们工程师已经录制成了视频供大家参考,在光盘B中

\NIOSII \视频\NIOSII视频教程(01)--软件安装

大家跟随视频一起安装就会完成整个安装过程。安装程序文件在光盘A中

Quartus II:\SOFTWARE\Quartus\90_quartus_windows.exe

NIOS II IDE: \SOFTWARE\Nios\90_nios2eds_windows.exe

破解文件:\SOFTWARE\Quartus\Crack_QII90.rar

六、安装USB下载线驱动

安装软件以后,我们还需要安装USB BLASTER(下载线)的驱动。USB BLASTER 的驱动是有Quartus II软件提供的,他的安装过程,我们也提供了视频演示,在光盘B中

\NIOSII \视频\ NIOSII视频教程(02)--安装usb下载线驱动.7z

在安装过程中,大家要注意点,就是驱动的路径是:

C:\altera\90\quartus\drivers\usb-blaster

千万不要进入X32或x64文件路径下。

如果您是WIN7系统用户,如果出现不能安装的情况,请将防火墙,杀毒软件都关掉,然后重新安装。

在这里,我简单说明一下USB下载线的使用方法。小黑支持两种下载方式,一种是JTAG方式,一种是AS方式。对应的在小黑的核心板上有两个10针的接口,上面有标识AS口和JTAG口。USB下载线一端与电脑的USB口相连接,另一端与小黑的JTAG口或者AS口相连。在同一时刻,我们只能使用其中一个接口。其中JTAG方式负责FPGA在线仿真,程序通过USB下载线下载到FPGA内部SRAM 中。由于FPGA是基于SRAM技术的,所以掉电以后,程序会丢失;AS方式是将程序下载到FPGA外部的配置芯片EPCSX中的,EPCSX实质是一种串行FLASH,因此,掉线以后,程序不会丢失。每次上电,EPCSX中的程序都会自动加载到FPGA 中,然后再开始运行。

USB BLASTER本身就有仿真和下载两种功能,因此,FPGA开发过程中只需要USB BLASTER既可以实现在线仿真和程序固化两个功能。其中JTAG方式主要用于

6

在线仿真,而

AS方式用于最终的程序固化到外部的配置芯片EPCSX中。

七、Verilog程序下载测试

上述过程都完成以后,接下来,我们就要试试小黑是否可以进行下载程序了,您也一定期待已久了吧,那现在我们就开始吧。

在光盘A中,我们为大家准备了已经编译好的Verilog测试程序,在文件夹DEMO_V下。下面我将为大家演示如何进行下载测试。

在这里要首先要说一下,拿到光盘以后,建议大家先将光盘内容拷贝到硬盘中,还有一点要注意的,就是不要放到含有中文和空格的路径下。因为Quartus 和NIOS对中文都支持的不好,包括中文路径。

拷贝好以后,我们进入光盘A下的DEMO_V文件夹,找到top_module.qpf 文件,双击后打开Quartus工程文件。

进入Quartus II软件以后,我们点击下图所示红圈处,进入程序下载界面

此时,会弹出以下对话框

在下载之前,我们需要将USB下载线与小黑的JTAG口相连接,这次我们要做的是在线仿真。插好USB下载线以后,我们插上电源,按下开关。

在这里,我要特别提醒大家,USB下载线一定不要带电的情况下插拔,一

7

定要先关掉电源,然后再插拔。如果带电插拔USB

下载线,很有可能会烧损

FPGA的JTAG口,这样就不能再恢复了,没有了JTAG口,这块FPGA基本上就不能再用了,后果很严重,请大家切记!!!

对于这个疑问,大家请看下面的链接内容:

https://www.sodocs.net/doc/2d13572104.html,/kingst/archive/2010/03/26/1696650.html 硬件链接完毕以后,接下来我们要设置一下USB下载线,我们在下载界面,点击下图所示的红圈处的Hardware Setup

点击以后,会出现下面窗口

然后,我们双击上图的红圈处,这时会在下图的红圈处出现

USB-Blaster[USB-0]。如果有其他选项,将其他选项选中,点击Remove Hardware,将其他选项都移除。最后点击Close关掉此窗口,回到下载窗口。

8

在这里面,我们需要注意一个选项Mode,如下图红圈处,在这里有四个选项,我们使用的FPGA芯片支持其中的JTAG和Active Serial Programming(即AS)。这个也就是我上面所说的JTAG和AS两种下载方式。

在这里,我们选择JTAG,进行JTAG仿真功能。如果我们要将最终程序固化到外部的EPCSX中,那么我们在此选择Active Serial Programming,同时我们也要将USB下载线跟小黑的AS接口相连。

JTAG模式和AS模式所对应的文件是不同的。程序编译以后,会生成两个可供下载在的文件,其中,后缀为.sof的文件供JTAG模式下载使用,而后缀为.pof的文件供AS模式下载使用。

进入下载界面以后,默认的.sof文件已经加载了,如下图的top_module.sof 文件。

接下来我们开始下载,点击下图的start,程序即开始下载了。

9

下载完成以后,进度条将会显示为100%,如下图所示

这样,我们的程序就下载完成了。请大家观察小黑核心板上的四个LED,如果成功,将会进行流水灯实验。

在此需要说明一下,由于在我们出厂的时候,已经有程序固化到EPCSX里面了。我们进行上述测试实验使用的是JTAG模式,如果重新上电,大家会发现程序还是之前的出厂默认DEMO程序,这一点初学者可能会有疑惑。问题就在FPGA 是基于SRAM技术的,通过JTAG模式下载,只是将程序下载到FPGA内部的SRAM 中,因此掉电以后,我们通过JTAG模式下载的程序会丢失的。而重新上电以后,EPCSX会自动加载程序到FPGA里。

到此为止,我们的下载测试功能就完成了。

八、NIOS程序下载测试

在光盘A中,我们为大家还准备了NIOS的DEMO程序,这个程序也是出厂时烧写到配置芯片中测试程序。NIOS的源代码在\DEMO_N\DEMO目录下,里面包含main、driver、inc三个文件夹。

由于NIOS工程跟工程路径有绝对关系,因此,如果要是测试NIOS程序,需要大家重新建立NIOS软件工程,然后将上述三个文件夹添加到NIOS工程中。具体相关操作,请大家参看光盘B中相关的NIOSII视频教程及光盘A中NIOS目录下的《NIOSII那些事儿》REV7.0.pdf。

10 九、教程简介

随小黑的配套教程分成NIOS和Verilog两部分。

NIOS教程

NIOS技术有一部教程,在光盘A下的\NIOS\教程下,在这个文件夹\NIOS\下,还有配套的源代码。同时配套的视频教程在光盘B下的\NIOSII \视频\,里面包含16集视频教程,同时还包括了视频中对应的源代码和PPT,详细讲述了NIOS II的相关技术。视频目录如下图所示:

学习NIOS技术要有C语言的基础,这也是最基本的。对于电子工程师来说,C语言就是基本功,不管你学习51也好,ARM也罢,甚至是DSP,都离不开C 语言。不管你用那种开发环境,KEIL、GCC、CCS,以至于我们将要学习的NIOS II IDE,都是使用C语言来操作的。由此可见,C语言的重要性可以说不言而喻的。

在这里,给大家介绍一本好书,也是经得起时间考验的C语言书。

《C程序设计语言(第2版·新版)》

此书的作者就是C语言的两位设计者Brian W.Kernighan和Dennis M.Ritchie(简称K&R),此书已翻译成多种语言,成为C语言方面最权威的教材之一。

此教程电子版收录在光盘A的\NIOS\教程下。正版图书购买地址:

https://www.sodocs.net/doc/2d13572104.html,/mn/detailApp/ref=sr_1_2?_encoding=UTF8 &s=books&qid=1280029864&asin=B0011425T8&sr=1-2

11

Verilog教程

Verilog技术有四部教程,在光盘A下的\VERILOG\下,进入以后,大家可以看到有四个文件夹,也就是对应我们的四部教程。

四个文件夹中分别有对应的教程,他们分别是《VerilogHDL扫盲文》、《VerilogHDL那些事儿_建模篇v4》、《VerilogHDL那些事儿_时序篇》、《VerilogHDL 整合的概念》。

四部教程的阅读顺序也很明显,从《VerilogHDL扫盲文》开始,其后分别是《VerilogHDL那些事儿_建模篇v4》、《VerilogHDL那些事儿_时序篇》、《VerilogHDL 整合的概念》,内容由浅入深。

对于我们原创的这四部教程,并不是从零开始讲起的,需要有Verilog的语法基础。在此推荐一本比较经典的Verilog基础教程,夏宇闻老师的《Verilog数字系统设计教程》(第二版),正版图书购买地址是:

https://www.sodocs.net/doc/2d13572104.html,/product.aspx?product_id=20241326 如果有些朋友想看电子版的,我特意在光盘A下的VERILOG目录下的推荐基础教程文件夹内收藏了此书,供大家学习之用。

除此之外,我们还收藏了很多的Verilog相关的电子书,在光盘B的\FPGA 资料\VERILOG文件夹下。不过我不推荐大家看很多的书,找对一本书,深入的去研究,这样才能体现出效果。书看多了只能越来越蒙,只有亲自去实践,才能真正懂得其中的道理,这也是我为什么让小黑陪伴您的原因。在此送大家一句话:In doing We learn! 在实践中成长!

十、TCL脚本文件

TCL脚本文件是用于分配引脚使用,我们可以通过此文件来查看外设的引脚,免于通过原理图来查看引脚的麻烦。TCL脚本文件在光盘A中TCL文件夹下。对下面的脚本文件简单解释一下,我们以第一条举例,PIN_23就是FPGA的第23引脚,RESET就是对应的复位引脚,RESET这个名字是我们自己起的,没有特别的规定,只要脚本文件的名字跟要分配的引脚名字一直即可,其他引脚道理相同。

12 #复位引脚

set_location_assignment PIN_23 -to RESET

#时钟引脚

set_location_assignment PIN_28 -to CLOCK #SDRAM引脚

set_location_assignment PIN_150 -to S_DB[0] set_location_assignment PIN_151 -to S_DB[1] set_location_assignment PIN_152 -to S_DB[2] set_location_assignment PIN_163 -to S_DB[3] set_location_assignment PIN_165 -to S_DB[4] set_location_assignment PIN_169 -to S_DB[5] set_location_assignment PIN_171 -to S_DB[6] set_location_assignment PIN_173 -to S_DB[7] set_location_assignment PIN_179 -to S_DB[8] set_location_assignment PIN_175 -to S_DB[9] set_location_assignment PIN_170 -to S_DB[10] set_location_assignment PIN_168 -to S_DB[11] set_location_assignment PIN_164 -to S_DB[12] set_location_assignment PIN_162 -to S_DB[13] set_location_assignment PIN_161 -to S_DB[14] set_location_assignment PIN_160 -to S_DB[15] set_location_assignment PIN_200 -to S_A[0] set_location_assignment PIN_203 -to S_A[1] set_location_assignment PIN_205 -to S_A[2] set_location_assignment PIN_207 -to S_A[3] set_location_assignment PIN_208 -to S_A[4] set_location_assignment PIN_206 -to S_A[5] set_location_assignment PIN_201 -to S_A[6] set_location_assignment PIN_199 -to S_A[7] set_location_assignment PIN_197 -to S_A[8] set_location_assignment PIN_193 -to S_A[9] set_location_assignment PIN_198 -to S_A[10] set_location_assignment PIN_191 -to S_A[11]

13 set_location_assignment PIN_192 -to S_BA[0] set_location_assignment PIN_195 -to S_BA[1] set_location_assignment PIN_182 -to S_NCAS set_location_assignment PIN_189 -to S_CKE set_location_assignment PIN_185 -to S_NRAS set_location_assignment PIN_180 -to S_NWE set_location_assignment PIN_188 -to S_NCS set_location_assignment PIN_181 -to S_DQM[1] set_location_assignment PIN_176 -to S_DQM[0] #并行FLASH引脚

set_location_assignment PIN_97 -to F_DB[0] set_location_assignment PIN_96 -to F_DB[1] set_location_assignment PIN_95 -to F_DB[2] set_location_assignment PIN_94 -to F_DB[3] set_location_assignment PIN_92 -to F_DB[4] set_location_assignment PIN_90 -to F_DB[5] set_location_assignment PIN_89 -to F_DB[6] set_location_assignment PIN_88 -to F_DB[7] set_location_assignment PIN_84 -to F_A[1] set_location_assignment PIN_82 -to F_A[2] set_location_assignment PIN_81 -to F_A[3] set_location_assignment PIN_80 -to F_A[4] set_location_assignment PIN_77 -to F_A[5] set_location_assignment PIN_76 -to F_A[6] set_location_assignment PIN_75 -to F_A[7] set_location_assignment PIN_68 -to F_A[8] set_location_assignment PIN_67 -to F_A[9] set_location_assignment PIN_64 -to F_A[10] set_location_assignment PIN_63 -to F_A[11] set_location_assignment PIN_61 -to F_A[12] set_location_assignment PIN_60 -to F_A[13] set_location_assignment PIN_59 -to F_A[14]

14 set_location_assignment PIN_86 -to F_A[16]

set_location_assignment PIN_74 -to F_A[17]

set_location_assignment PIN_72 -to F_A[18]

set_location_assignment PIN_69 -to F_A[19]

set_location_assignment PIN_87 -to F_ALSB

set_location_assignment PIN_102 -to F_A[0]

set_location_assignment PIN_70 -to F_NWE

set_location_assignment PIN_101 -to F_NCE

set_location_assignment PIN_99 -to F_NOE

#USB对应的引脚

set_location_assignment PIN_127 -to USB_DB[0] set_location_assignment PIN_118 -to USB_DB[1] set_location_assignment PIN_133 -to USB_DB[2] set_location_assignment PIN_128 -to USB_DB[3] set_location_assignment PIN_135 -to USB_DB[4] set_location_assignment PIN_134 -to USB_DB[5] set_location_assignment PIN_138 -to USB_DB[6] set_location_assignment PIN_137 -to USB_DB[7] set_location_assignment PIN_115 -to USB_A0

set_location_assignment PIN_117 -to USB_WR set_location_assignment PIN_132 -to USB_NINT set_location_assignment PIN_116 -to USB_RD

#网口对应的引脚

set_location_assignment PIN_129 -to LAN_NINT set_location_assignment PIN_130 -to LAN_NWOL set_location_assignment PIN_104 -to LAN_MOSI set_location_assignment PIN_103 -to LAN_MISO set_location_assignment PIN_105 -to LAN_SCK set_location_assignment PIN_106 -to LAN_CS

#VGA对应的引脚

set_location_assignment PIN_145 -to VGA_G

set_location_assignment PIN_144 -to VGA_B

15 set_location_assignment PIN_146 -to VGA_HS set_location_assignment PIN_147 -to VGA_VS

#液晶屏对应的引脚

set_location_assignment PIN_8 -to LCD_CS set_location_assignment PIN_12 -to LCD_A0 set_location_assignment PIN_11 -to LCD_SCL set_location_assignment PIN_14 -to LCD_SI

#LED对应的引脚

set_location_assignment PIN_47 -to LED[0]

set_location_assignment PIN_48 -to LED[1]

set_location_assignment PIN_56 -to LED[2]

set_location_assignment PIN_57 -to LED[3]

#按键对应的引脚

set_location_assignment PIN_6 -to KEY_OK set_location_assignment PIN_3 -to KEY_UP set_location_assignment PIN_5 -to KEY_DOWN set_location_assignment PIN_4 -to KEY_LEFT set_location_assignment PIN_10 -to KEY_RIGHT #串口对应的引脚

set_location_assignment PIN_131 -to RXD

set_location_assignment PIN_149 -to TXD

#24LC04(EEPROM)对应的引脚

set_location_assignment PIN_114 -to I2C_SDA set_location_assignment PIN_113 -to I2C_SCL

#PS2对应的引脚

set_location_assignment PIN_139 -to PS2_DAT set_location_assignment PIN_141 -to PS2_CLK

#DS1302(实时时钟)对应的引脚

set_location_assignment PIN_108 -to RTC_SCLK set_location_assignment PIN_112 -to RTC_nRST set_location_assignment PIN_110 -to RTC_DATA #蜂鸣器对应的引脚

#数码管对应的引脚

set_location_assignment PIN_37 -to DIG[0]

set_location_assignment PIN_39 -to DIG[1]

set_location_assignment PIN_40 -to DIG[2]

set_location_assignment PIN_41 -to DIG[3]

set_location_assignment PIN_43 -to DIG[4]

set_location_assignment PIN_44 -to DIG[5]

set_location_assignment PIN_45 -to DIG[6]

set_location_assignment PIN_46 -to DIG[7]

set_location_assignment PIN_15 -to SEL[5]

set_location_assignment PIN_30 -to SEL[4]

set_location_assignment PIN_31 -to SEL[3]

set_location_assignment PIN_33 -to SEL[2]

set_location_assignment PIN_34 -to SEL[1]

set_location_assignment PIN_35 -to SEL[0]

16

FPGA开发板

FPGA开发板 FPGA开发板ALTERA FPGA是世界上十几家生产CPLD/FPGA的公司中最大的可编程逻辑器件供应商之一,生产的FPGA产品有:FLEX6000/8000/10K、APEX20K、ACEX1K、APEXⅡ、Mercury、Excalibur、Cyclone、Stratix、CycloneⅡ和StratixⅡ等系列。 Altera的FPGA器件采用钢铝布线的先进CMOS技术,具有非常低的功耗和相当高的速度,而且采用连续式互连结构,提供快速的、连续的信号延时。Altera器件密度从300门到400万门,能很容易地集成现有的各种逻辑器件,高集成度的FPGA提供更高的系统性能,更高的可靠性,更高的性能价格比。 Altera Cyclone系列FPGA是Altera公司2003年9月份推出的,基于1.5V,0.13μm 工艺,Cyclone 是一个性价比很高的FPGA系列。其中EP1C3T144是Cyclone系列中的一员,共有2910逻辑单元,59904RAM bits,1个PLLs,最多有104个用户I/O,可以说这款FPGA的资源非常丰富,足够满足大型设计的需要。 本公司因此特推出Cyclone EP1C3T144C8 FPGA开发板,该开发板功能强大,接口丰富,可做大型综合实验。 FPGA开发板硬件资源主要有:

1、Altera EP1C3T144C8 FPGA芯片 2、Altera 串行配置芯片EPCS1 3、DAC0832芯片 4、ADC0809芯片 5、液晶接口 6、TP3057PCM编解码芯片 7、八位高亮数码管 8、八位拨码开关 9、4×4阵列键盘 10、4个复位轻触按键 11、9个高亮发光二极管(红、绿、黄色各三灯) 12、双刀双掷继电器 13、异步通信串口(UART) 14、双有源晶振(24MHz和32.768MHz) 15、5V,3.3V,1.5V电源管理系统 FPGA开发板带以下DEMO程序: 1、FPGA实现流水灯实验 2、FPGA实现电子钟实验 3、FPGA实现串口发送实验 4、FPGA实现串口接收、点阵显示实验 5、FPGA实现矩阵键盘和点阵显示(实时扫描方式) 6、FPGA实现矩阵键盘和数码管显示(延时扫描方式) 7、FPGA实现AD转换、数码显示和拨码选择通道实验 8、FPGA实现DA转换,产生锯齿、三角、正弦波;拨码开关选择波形

Altium公司的FPGA开发板的原理图

1 12 23 34 45 56 67 78 8 D D C C B B A A 1 02 1/02/20101:34:44 PM NB3000_Top.SchDoc Project Title Size: Date:File:Revision:Sheet of Time:A2Sheet Title NB3000 Top Level Assy:81 NB3000AL - Altera D-820-0053 Altium Limited 3 Minna Close Belrose NSW 2085Australia PSU PSU.SchDoc SRAM SRA M1 SRAM_256Kx 16_TSOP44 STATUS_LED U SE R _STATUS_L E DS DB_LEDS_0603 SRAM1 SRAM2 MEM_COMMON DAU_RESET_SW BUZZER ONE_WIRE_DB_PB SW DIP USERIO EXT_A RS232 KEYBOARD MOUSE TFT_IO DB_PROGRAM STATUS_LED USER_LEDS RELAY I2C CODEC VGA ETH DBSD DBUSB PWM SPDIF DAC ADC RS485 MIDI DB_JTAG DB_CLOCKS DB_SPI ISP176X PROTOTYPE SPAREIO TFT_TSC FPGA _USE R FPGA.SCHDOC INT EXT V IDE O_OU T VGA_OUT.SCHDOC CON CON_VGA CON_VGA_DB15 HOST_JTAG LED1LED2 1WID DB_PROGRAM CLK_PLL FLASH_BOOT HOSTUSB SRAM RTC SD HOST_AUDIO DB_JTAG DB_CLOCKS FLASH_USER DB_SPI PB_A EXTSPI FLASH_GOLDEN DIAGCOMMS FPGA _HOST HOST_FPGA.SchDoc HOST_JTAG HOST_JTA G HOST_JTAG.SchDoc INT EXT R S232 RS232_HIN232 EXT INT KE Y BOA RD PC_PS2 RS232# KBD#MSE# RS232 KEYBOARD EXT INT MOUSE PC_PS2 DIPSW DB_RESET CON CON_DBU SB CON_MINI_USBB_RA_KME04-USBMU03A01-1 DBUSB DBUSB# CON CON_DBSD CON_SD_KSDC012551 DBSD EXT INT DBU SB_TX RX USB_CY7C68001-56LFC.SchDoc INT EXT E TH Ethernet_RTL8201CL.SchDoc ETH CLK_PLL CL K_PL L CLK_ICS307-02_PLL 1WID NB_ID 1WB_DS2502_ID CON CON_HOST_USB CON_MINI_USBB_RA_KME04-USBMU03A01-1 TFT_TSC TFT_TOUCH L CDTFT TSC_XPT2046.SchDoc TFT_TSC TFT_IO CON CON_MOU SE CON_PS2PORT_MINIDIN6F_BLACK INT CON PDA _SW ITCHE S SW_PB_SPNOx5_SMD INT TE ST_RE SE T SW_RESET_SPNO CON USERPOWER CON_IO CON_USER_20WBOXHDRRAMx 2 UIO BUZZER CODEC_AUD AUDIO SPK_L SPK_R HOST_AUDIO AIN AOUT_PBA A UDIO_A MP AUDIO_AMP_NB2C PB_AIN AUDIO SPK_L USER_LEDS CON U SE R _L E D LED_RGB_SMDx 8.SCHDOC USER_LEDS VGA# VGA SW SRAM SRA M2 SRAM_256Kx 16_TSOP44 RELAYS CON R E L AY RELAY_X4_IM03GR RELAY PWM CON PW M PWM_5.8A_30V_X4 PWM 1V21V82V53V35V0 PBPOW E R 1V21V82V53V35V0 I2C DIGITAL CODEC_AUD AIN A UDIO_CODE C Audio_Codec.SchDoc CODEC SPK_L SPK_R CON_SPE AKE R S CON_EXT_SPK SPK_R PB_AOUT PBIO LED1LED2 LED1_EXT LED2_EXT L E D_HOST LED_RGB_SMDx 2 SPDIF CON_SPDIF CON_SPDIF_INOUT_A SPDIF SPI CON DAC DAC_DAC084S085_SPI SPI CON A DC ADC_ADC084S021_SPI DAC ADC CON CON_E TH CON_ETHERNET_RJ45_LEDS ETH# TFT_IO TFT_TOUCH CON_L CDTFT CON_FFC40_LCDTFT.SCHDOC CON CON_RE L AY CON_RELAYx 4_KMRJIO3_5MM_12WAY CON CON_RS232 CON_RS232DCE_DB9_TH BOOT_FLASH MOUNTS Mounts.SchDoc INT EXT R S485 RS485_ISL8491 CON_PSU PWJACK+SWITCH.SchDoc HOST_USB HOSTUSB# EXT INT HOST_USB_TXR X USB_CY7C68001-56LFC.SchDoc VBATT CON_BA TT CON_BATT_COIN VBATT VBATT RTC R T CL OCK CLK_PCF2123_RTC HOST_RTC USERPOWER U SE R _POW E R USERPWR.SCHDOC CON CON_HOST_SD CON_SD_KSDC012551 RS485#CON CON_RS485 CON_RS485_RJ45 INT EXT MIDI INTE RFA CE MIDI_FULL CON CON_MIDI CON_MIDI_DIN5 MIDI# MIDI RS485HOST_AUDIO PBCTRL DB_PROGRAM HOST_JTAG HOST_ID HOST_CLK HOST_SRAM HOST_LED1 HOST_LED2 HOST_SD DB_SRAM1 DB_SRAM2 DB_MEM DB_STATUS ADC# RELAYS# PWM# DAC# UIO_PWR DB_JTAG DB_CLOCKS PB_SPI USER_FLASH DB_SPI EXT ONE_WIRE_DB_PB AIN I2C SPI AOUT CTRL PBPOWER CON_PE R IPHE R AL _BRD PBCON USER_LEDS SW_PDA SPARE_IO CON_L E DKBD CON_NB3000_LEDKB SERFLASH SYSBOOT FLASH_M25PX0_SPI_8Mbit GOLD_FLASH SERFLASH GOL DE N FLASH_M25PX0_SPI_8Mbit FLASH U SE R _FL A SH FLASHSPI_M25PX0 INT SW _DIP8_SM T SW_DIP8_SMT CON CON_KE YBOAR D CON_PS2PORT_MINIDIN6F_BLACK CON CON_USB1 CON_USBA_RA_UPRIGHT LEDS# ATE DIAGCOMMS A TE INTE R FACE CON_NB3000_ATE_INTF ISP176X PORT1 PORT2 PORT3 U SB_U SE RHOST USB_ISP1760 ATE VGA#SPDIF UIO ADC#DAC#AUDIO HOST_JTAG PWM RS232#RS485#KBD#MSE#MIDI# HOST_ID A TE INTE R FACE VGA#SPDIF UIO ADC# DAC# AUDIO HOST_JTAG PWM# RS232#RS485#KBD#MSE#MOUSE ISP176X PORT1 PORT2 PORT3 PROTOTYPE U SE R _PROTOTYPE _AR E A PROTOTYPE_A PROTOTYPE CON CON_AU DIO CON_AUDIO_AC99_NOMIC.SCHDOC DIAGCOMMS MIDI# HOST_ID SW# SPAREIO MEM COMM ON_ME M OR Y CommonMemory CON CON_ADC CON_ADCx 4_KMRJIO3_5MM_6WAY CON CON_DAC CON_DACx 4_KMRJIO3_5MM_6WAY CON CON_PW M CON_PWMx4_KMRJIO3_5MM_6WAY CON CON_USB2 CON_USBA_RA_UPRIGHT CON CON_USB3 CON_USBA_RA_UPRIGHT SRAM SRA M_HOST SRAM_256Kx 16_TSOP44 TFT_TOUCH INT U SB_CL K OSC_24MHZ.SchDoc HOST_USB.XTALIN HOST_USB.XTALOUT CMOSOUT XTALIN XTALOUT OSC DBUSB.XTALIN

FPGA入门及Quartus II使用教程(内部资料)

FPGA入门及Quartus II使用教程FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)等可编程器件的基础上上进一步发展的产物。 可以这样讲,ASIC(Application Specific Integrated Circuit )内部的所有资源,是用积木堆积起来的小房子,可以是一个欧美风情的房子,还可以是一个北京四合院…….而FPGA内部就可以说是一个个小积木,也就是内部有大量的资源提供给我们,根据我们的需求进行内部的设计。并且可以通过软件仿真,我们可以事先验证设计的正确性。 第一章FPGA的基本开发流程 下面我们基于Altera 公司的QuantusII 软件来说明FPGA 的开发流程。 下图是一个典型的基于Quartus II的FPGA开发整体流程框图。

1、建立工程师每个开发过程的开始,Quartus II以工程为单位对设计过程进行管 理。 2、建立顶层图。可以这样理解,顶层图是一个容器,将整个工程的各个模块包 容在里边,编译的时候就将这些模块整合在一起。也可以理解为它是一个大元件,比如一个单片机,内部包含各个模块,编译的时候就是生成一个这样的大元件。 3、采用ALTERA公司提供的LPM功能模块。Quartus软件环境包含了大量的常 用功能模块,比如计数器、累加器、比较器等等。 4、自己建立模块。由于有些设计中现有的模块功能不能满足具体设计的要求, 那就只能自己设计。使用硬件描述语言,当然也可以用原理图的输入方法,可以独立的把它们当成一个工程来设计,并且生成一个模块符号(Symbol),类似于那些LPM功能模块。这里可以理解为,如果我们需求的滤波器,没有现成的合适的,那我们可以通过LC自己来搭建一个滤波器。 5、将顶层图的各个功能模块连线起来。这个过程类似电路图设计,把各个芯片 连起来,组成电路系统。 6、系统的功能原理图至此已经基本出炉了,下一步就是选择芯片字载体,分配 引脚,设置编译选项等等。 7、编译。这个过程类似软件开发里德编译,但是实际上这个过程比软件的编译 复杂的多,因为它最终要实现硬件里边的物理结构,包含了优化逻辑的组合,综合逻辑以及布线等步骤。 8、编译后会生成2个文件,一个是*.sof文件,一个是*.pof文件,前者可以通过 JTAG方式下载到FPGA内部,可以进行调试,但断电后数据丢失;后者通过AS或者PS方式下载到FPGA的配置芯片里边(EEPROM或者FLASH),重新上电后FPGA会通过配置将数据读出。 9、对于复杂的设计,工程编译好了,我们可以通过Quartus软件或者其他仿真 软件来对设计进行反复仿真和验证,直到满足要求。(主要是时序仿真)。 第二章基于Quartus II的实例 一、建立工程 首先,打开Quartus II软件。

ARM+FPGA开发板规格书

2014

Revision History

阅前须知 版权声明 本手册版权归属广州创龙电子科技有限公司所有,非经书面同意,任何单位及个人不得擅自摘录本手册部分或全部,违者我们将追究其法律责任。本文档一切解释权归广州创龙电子科技有限公司所有。 ?2014-2018Guangzhou TronlongElectronicTechnologyCo.,Ltd. All rights reserved. 公司简介 广州创龙电子科技有限公司(简称“广州创龙” ,英文简称"Tronlong"),是杰出的 嵌入式方案商,专业提供嵌入式开发平台工具及嵌入式软硬件定制设计及技术支持等服务,专注于DSP+ARM+FPGA 三核系统方案开发,和国内诸多著名企业、研究所和高校有密切的技术合作,如富士康、威胜集团、中国科学院、清华大学等国内龙头企业和院校。 TI 嵌入式处理业务拓展经理ZhengXiaolong 指出:“Tronlong 是国内研究OMAP-L138 最深入的企业之一,Tronlong 推出OMAP-L138+Spartan-6三核数据采集处理显示解决方案,我们深感振奋,它将加速客户新产品的上市进程,带来更高的投资回报率,使得新老客户大大受益。” 经过近几年的发展,创龙产品已占据相关市场主导地位,特别是在电力、通信、工控、 音视频处理等数据采集处理行业广泛应用。创龙致力于让客户的产品快速上市、缩短开发周期、降低研发成本。选择创龙,您将得到强大的技术支持和完美的服务体验。 产品保修 广州创龙所有产品保修期为一年,保修期内由于产品质量原因引起的,经鉴定系非 人为因素造成的产品损坏问题,由广州创龙免费维修或者更换。 更多帮助

金龙STM32F207开发板用户手册

1.概述 金龙STM32开发板用户手册芯片描述 -ARM32-bit Cortex-M3CPU -120MHz maximum frequency,150DMIPS/1.25DMIPS/MHz -Memory protection unit Memories -Up to1Mbyte of Flash memory -Up to128+4Kbytes of SRAM -Flexible static memory controller (supports Compact Flash,SRAM,PSRAM,NOR,NAND memories) -LCD parallel interface,8080/6800modes Clock,reset and supply management -1.8to3.6V application supply and I/Os -POR,PDR,PVD and BOR -4to25MHz crystal oscillator -Internal16MHz factory-trimmed RC -32kHz oscillator for RTC with calibration -Internal32kHz RC with calibration Low power -Sleep,Stop and Standby modes -VBAT supply for RTC, C32bit backup registers 20 optional4KB backup SRAM C12-bit,0.5us A/D converters 3 -up to24channels -up to6MSPS in triple interleaved mode C12-bit D/A converters 2 General-purpose DMA -16-stream DMA controller centralized FIFOs and burst support Up to17timers -Up to twelve16-bit and two32-bit timers Debug mode -Serial wire debug(SWD)&JTAG interfaces -Cortex-M3Embedded Trace Macrocell Up to140fast I/O ports with interrupt capability -51/82/114/140I/Os,all5V-tolerant Up to15communication interfaces C I2C interfaces(SMBus/PMBus) -Up to3 -Up to6USARTs(7.5Mbit/s,ISO7816interface,LIN,IrDA,modem control)

使用QUARTUS II做FPGA开发全流程,傻瓜式详细教程

My First FPGA Design Tutorial 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 https://www.sodocs.net/doc/2d13572104.html, TU-01002-1.0

Copyright ? 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device des-ignations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Al-tera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the ap- plication or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published in- formation and before placing orders for products or services. Printed on recycled paper

基于DE2-115开发板的FPGA入门设计实验

基于DE2-115开发板的FPGA入门设计实验 1、Lab1: 4位加法器、减法器的设计 1.1 摘要 在文件add_sub里面的工程文件operation_4.v为顶层文件,该顶层文件包含了三个子模块,分别为数码管显示模块,4位带进位的二进制加法器模块和4位带借位的二进制减法器模块,最后通过DE2-115开发板显示实验结果。 1.2 程序 1)add_4bits.v 加法器 module adder_4bits ( input clk, input rst_n, input [3:0] x, input [3:0] y, output reg [3:0] sum, output reg carry_out //溢出位 ); always@(posedge clk or negedge rst_n) begin if(!rst_n)

{carry_out, sum} <= 0; else {carry_out, sum} = x + y; end endmodule 2)substractor_4bits.v减法器module subtractor_4bits ( input clk, input rst_n, input [3:0] x, input [3:0] y, output r eg [3:0] sub, output r eg borrow_out ); always@(posedge clk or negedge rst_n) begin if(!rst_n) {borrow_out, sub} <= 0; else begin

if(x >= y) {borrow_out, sub} = {1'b0, x - y}; else {borrow_out, sub} = {1'b1, x - y}; end end endmodule 3)seg7_lut.v 数码管显示译码模块 module Seg7_lut ( input [3:0] iDIG, output r eg [6:0] oSEG ); always @(iDIG) begin case(iDIG) 4'h1: oSEG = 7'b1111001; // ---t---- 4'h2: oSEG = 7'b0100100; // | | 4'h3: oSEG = 7'b0110000; // lt rt 4'h4: oSEG = 7'b0011001; // | | 4'h5: oSEG = 7'b0010010; // ---m---- 4'h6: oSEG = 7'b0000010; // | |

FPGA原理图方式设计流程图

2 Quartus II软件的使用、开发板的使用 本章将通过3个完整的例子,一步一步的手把手的方式完成设计。完成这3个设计,并得到正确的结果,将会快速、有效的掌握在Altera QuartusII软件环境下进行FPGA设计与开发的方法、流程,并熟悉开发板的使用。 2.1 原理图方式设计3-8译码器 一、设计目的 1、通过设计一个3-8译码器,掌握祝组合逻辑电路设计的方法。 2、初步了解QuartusII采用原理图方式进行设计的流程。 3、初步掌握FPGA开发的流程以及基本的设计方法、基本的仿真分析方法。 二、设计原理 三、设计内容 四、设计步骤 1、建立工程文件 1)双击桌面上的Quartus II的图标运行此软件。

开始界面 2)选择File下拉菜单中的New Project Wizard,新建一个工程。如图所 示。 新建工程向导

3)点击图中的next进入工作目录。 新建工程对话框 4)第一个输入框为工程目录输入框,用来指定工程存放路径,建议可根据自己需要更改路径,若直接使用默认路径,可能造成默认目录下存放多个工程文件影响自己的设计,本步骤结束后系统会有提示(当然你可不必理会,不会出现错误的)。第二个输入框为工程名称输入框。第三个输入框为顶层实体名称输入框,一般情况下保证工程名称与顶层实体名称相同。设定完成后点击next。

指定工程路径、名称 5)设计中需要包含的其它设计文件,在此对话框中不做任何修改,直接点 击next。 工程所需其它文件对话框

6)在弹出的对话框中进行器件的选择。在Device Family框中选用Cyclone II,然后在Available device框中选择EP2C35F484C8,点击next进入下一步。 器件选择界面 7)下面的对话框提示可以勾选其它的第三方EDA设计、仿真的工具,暂时不作任何选择,在对话框中按默认选项,点击next。

路虎开发板用户手册

路虎NXP LPC1768开发板 用户手册

1、概述 路虎开发板采用 NXP公司 LPC1768 ARM是一款基于第二代 ARM Cortex-M3内核的微控制器,是为嵌入式系统应用而设计的高性能、低功耗的 32位微处理器,适用于仪器仪表、工业通讯、电机控制、灯光控制、报警系统等领域。路虎开发板板载 USB仿真器,支持 USB2.0 Device,具有双 CAN接口、RS-485接口等功能。路虎开发板配套丰富的例程和详尽的资料,方便用户快速进行项目开发。 功能特点: 强大的 MCU内核:Cortex-M3 ●处理速率高达 100MHz,并包含一个支持 8个区的存储器保护单元(MPU) ●内置嵌套向量中断控制器(NVIC) ● 512KB片上 Flash程序存储器,支持在系统编程(ISP)和在应用编程(IAP) ● 64KB SRAM可供高性能 CPU通过指令总线、系统总线、数据总线访问 ● AHB多层矩阵上具有 8通道的通用 DMA控制器(GPDMA) ●支持SSP、UART、AD/DA、定时器、GPIO等,并可用于存储器到存储器的传输 ●标准 JTAG测试/调试接口以及串行线调试和串行线跟踪端口选项 ●仿真跟踪模块支持实时跟踪 ● 4个低功率模式:睡眠、深度睡眠、掉电、深度掉电

●单个 3.3V电源(2.4V – 3.6V) ●工作温度:-40 °C - 85°C ●不可屏蔽中断(NMI)输入 ●片内集成上电复位电路 ●内置系统节拍定时器(SysTick),方便操作系统移植。 丰富的板载资源: 1、2路 RS232串行接口(使用直通串口线、其中一路串口支持 ISP下载程序) 2、2路 CAN总线通信接口(CAN收发器:SN65VHD230) 3、RS485通信接口(485收发器:SP3485) 4、RJ45-10/100M Ethernet网络接口(以太网 PHY:DP83848) 5、DA输出接口(可做 USB声卡实验、板载扬声器和扬声器输出驱动) 6、AD输入接口(可调电位器输入) 7、彩色液晶显示接口(可接 2.8寸或 3.2寸 TFT 320X240彩屏) 8、USB2.0接口,USB host及 USB Device接口。 9、SD/MMC卡(SPI)接口(提供带 FAT12、FAT16、FAT32文件系统)

FPGA开发入门教程

Altera FPGA开发入门教程

目录 目录 第一章 Altera FPGA 开发流程概述 (1) 1.1 你需要准备的 (1) 1.2 Altera FPGA 基本开发流程 (1) 第二章 QuartusII 软件安装教程 (4) 第三章 完成第一个FPGA设计 (20) 3.1 启动和建立QuartusII工程 (20) 3.2 编辑我们的设计文件 (27) 3.3 综合、布局布线 (30) 3.4 引脚约束 (34) 3.5 再次综合、布局布线 (37) 第四章 配置FPGA (38) 4.1 JTAG配置 (38) 4.2 JIC烧写 (42)

第一章Altera FPGA 开发流程概述 本章介绍Altera FPGA的最基本最简单的开发流程,目的在于让您更直观了解FPGA开发设计过程,最快上手FPGA开发,最快找到感觉:-) 1.1你需要准备的 ●兴趣 无需多言,兴趣是最好的老师! ●基本电路知识 学习FPGA最好能懂一些模拟电路和数字电路的基础知识,比如知道什么是高电平、低电平、逻辑门、触发器、电阻电容、发光二极管等。只需基本概念即可,不要求你是专家。当然,如果你有单片机之类的开发经验,那会更好! ●Verilog语言 是的,我们用Verilog进行FPGA设计。因为近年来,Verilog的使用率已经远远超过VHDL。你不需要太精通Verilog的语法,但是你需要用硬件的思维来学习和使用Verilog。在接下来的FPGA学习中,我们会反复强调这一点,以便带给你更深的体会。 ●硬件平台 纸上得来终觉浅。一块优秀而又易用的入门级FPGA开发板,会祝您一臂之力! 1.2A ltera FPGA 基本开发流程 图1- 1展示了Altera FPGA的基本开发流程。 1

RK3188开发板使用手册v1.0

RK3188开发板使用手册v1.0 一.安装RockUsb驱动 (2) 二.查看串口输出信息 (5) 三.烧写/下载固件 (8) 四.Kernel开发 (11) 五.Android开发 (12) 六.制作固件升级包update.img (13) 七.Recovery系统 (14) 八.Android系统USB操作 (17)

一.安装RockUsb驱动 Rockusb驱动放在RK3188\tools\RockusbDriver文件夹中 当你第一次使用RK3188SDK开发板时,接好USB线,按住“VOL+(RECOVERY)”按键上电,会要求安装驱动,按下面的图示步骤进行安装: 图1 选择“否,暂时不(T)”,点击“下一步”进入图2所示界面

图2 选择“从列表或指定位置安装(高级)”,点击下一步,进入图3界面 图3 选择你的驱动所存放的目录,点击“下一步”开始安装驱动,如图4所示

图4 完成以后可以在设备管理器看到设备已经安装成功 图5

二.查看串口输出信息 RK3188SDK开发板没有使用普通的串口,而是使用USB口来输出串口信息,你可以用一根特殊的USB调试线将开发板上的USB口连接到你的电脑中来查看串口信息。 1、在连接USB口之前,请先安装PL-2303USB转串口驱动 2、驱动安装完成后,再使用USB线将开发板上名为“UART0”的USB口连接到PC 中,然后你应该可以在设备管理器中看到一个新设备,如下所示: 3、使用串口工具查看开发板的输出信息。 在这边我以Windows自带的超级终端为例说明串口的配置: a、点击开始->所有程序->附件->通讯->超级终端 点击确定 b、选择正确的COM口:

FPGA学习指南

PS:笔者强烈建议诸位注册一个EETOP的账号,每天签到或者发贴、回贴就有积分了,里面的资源非常丰富,各种软件、资料都能找到。 一、入门首先要掌握HDL(HDL=verilog+VHDL)。 第一句话是:还没学数电的先学数电。然后你可以选择verilog或者VHDL,有C语言基础的,建议选择VHDL。因为verilog太像C了,很容易混淆,最后你会发现,你花了大量时间去区分这两种语言,而不是在学习如何使用它。当然,你思维能转得过来,也可以选verilog,毕竟在国内verilog用得比较多。 接下来,首先找本实例抄代码。抄代码的意义在于熟悉语法规则和编译器(这里的编译器是硅编译器又叫综合器,常用的编译器有:Quartus、ISE、Vivado、Design Compiler、Synopsys的VCS、iverilog、Lattice的Diamond、Microsemi/Actel的Libero、Synplify pro),然后再模仿着写,最后不看书也能写出来。编译完代码,就打开RTL图,看一下综合出来是什么样的电路。 HDL是硬件描述语言,突出硬件这一特点,所以要用数电的思维去思考HDL,而不是用C语言或者其它高级语言,如果不能理解这句话的,可以看《什么是硬件以及什么是软件》。在这一阶段,推荐的教材是《Verilog传奇》、《Verilog HDL高级数字设计》或者是《用于逻辑综合的VHDL》。不看书也能写出个三段式状态机就可以进入下一阶段了。 此外,你手上必须准备Verilog或者VHDL的官方文档,《verilog_IEEE官方标准手册-2005_IEEE_P1364》、《IEEE Standard VHDL Language_2008》,以便遇到一些语法问题的时候能查一下。 二、独立完成中小规模的数字电路设计。 现在,你可以设计一些数字电路了,像交通灯、电子琴、DDS等等,推荐的教材是《Verilog HDL应用程序设计实例精讲》。在这一阶段,你要做到的是:给你一个指标要求或者时序图,你能用HDL设计电路去实现它。这里你需要一块开发板,可以选Altera的cyclone IV系列,或者Xilinx的Spantan 6。还没掌握HDL之前千万不要买开发板,因为你买回来也没用。这里你没必要每次编译通过就下载代码,咱们用modelsim仿真(此外还有QuestaSim、NC verilog、Diamond的Active-HDL、VCS、Debussy/Verdi等仿真工具),如果仿真都不能通过那就不用下载了,肯定不行的。在这里先掌握简单的testbench就可以了。推荐的教材是《WRITING TESTBENCHES Functional Verification of HDL Models》。 三、掌握设计方法和设计原则。 你可能发现你综合出来的电路尽管没错,但有很多警告。这个时候,你得学会同步设计原则、优化电路,是速度优先还是面积优先,时钟树应该怎样设计,怎样同步两个异频时钟 《Altera FPGA/CPLD 等等。推荐的教材是《FPGA权威指南》、《IP核芯志-数字逻辑设计思想》、 设计》第二版的基础篇和高级篇两本。学会加快编译速度(增量式编译、LogicLock),静态时序分析(timequest),嵌入式逻辑分析仪(signaltap)就算是通关了。如果有不懂的地方可以暂时跳过,因为这部分还需要足量的实践,才能有较深刻的理解。 四、学会提高开发效率。 因为Quartus和ISE的编辑器功能太弱,影响了开发效率。所以建议使用Sublime text 编辑器中代码片段的功能,以减少重复性劳动。Modelsim也是常用的仿真工具,学会TCL/TK 以编写适合自己的DO文件,使得仿真变得自动化,推荐的教材是《TCL/TK入门经典》。你可能会手动备份代码,但是专业人士都是用版本控制器的,所以,为了提高工作效率,必须掌握GIT。文件比较器Beyond Compare也是个比较常用的工具。此外,你也可以使用System Verilog来替代testbench,这样效率会更高一些。如果你是做IC验证的,就必须掌

STM32F429开发板用户手册

STM32F429开发板用户手册 介绍 STM32F429(32F429IDISCOVERY)开发板可以帮助你去学习高性能STM32F4系列,并去开发你自己的应用。它包含了一个STM32F429ZIT6和一个嵌入ST-LINK/V2调试接口,2.4吋TFTLCD,64MbitsSDRAM,ST微机电陀螺仪,按键和USB OTG接口。

1约定 下表提供了一些约定惯例,目前的文档可能会用到。

2快速入门 STM32F429开发板是一种廉价且易于上手的开发套件,可以让使用者快速评估和开始STM32F4的开发工作。 在安装和使用产品以前,请接收评估产品许可协议。 2.1启动 跟随以下顺序来设置STM32F429开发板并开始开发应用: 1、确认跳线JP3和CN4被设置为“on”(开发模式) 2、连接STM32F429Discovery开发板CN1到PC,使用USB电缆(type A/mini-B),开发板上电。 3、屏幕上以下应用可用: 时钟日历和游戏 视频播放器和图片浏览器(播放浏览USB大容量存储器上的视频和图片)性能显示器(观察CPU负载和图形测试) 系统信息 4、演示软件,也像其他软件例程,运行你用来开发STM32F4。 5、从例程开始开发你自己的应用吧。 2.2系统要求 ?Windows PC(XP,Vista,7) ?USB type A to mini-B cable 2.3支持STM32F429开发板的开发工具 ?Altium:TASKING?VX-Toolset ?Atollic:TrueSTUDIO ?IAR:EWARM ?Keil?:MDK-ARM 2.4订购码 要订购STM32F429Discovery kit,请使用STM32F429I-DISCO订购码。 3特性 STM32F429Discovery开发板提供一下特性: ?S TM32F429ZIT6具有2MB闪存,256KB的RAM,LQFP144封装。 ?板载ST-LINK/V2,带有选择模式跳线,可以作为独立的ST-LINK/V2使用。 ?板电源:通过USB总线或外部3V或5V电源。 ?L3GD20:ST微机电动作传感器,3轴数字输出陀螺仪 ?TFT LCD,2.4寸,262K色RGB,240*230分辨率 ?SDRAM64Mbits(1Mbit x16-bit x4-bank),包含自动刷新模式和节能模式 ?六个LED: LD1(红绿):USB通信 LD2(红):3.3V电源 两个用户LED LD3(绿),LD4红 两个USBOTG LED:LD5(绿)VBUS和LD6OC(过流) ?两个按键(user and reset)

FPGA入门系列实验教程——LED跑马灯

艾米电子工作室FPGA入门系列实验教程 FPGA入门系列实验教程V1.0 前言 目前市场销售FPGA开发板的厂商很多,但大多只提供些简单的代码,没有详尽的文档和教程提供给初学者。经验表明,很多学生在学习FPGA设计技术的过程中,虽然刚开始学习热情很高,但真正坚持下来一直到“学会”的却寥寥无几,除了学生个人毅力的因素外,另外一个更主要的原因就是所选的开发板缺少配套的说明文档和手把手系列的入门教程。原本FPGA的学习门槛相对于单片机来说就高了不少,再加上缺少手把手系列教程资料,这就给初学者学习FPGA增添了更多的困难,很多初学者因为找不到入门的方法而渐渐失去了学习FPGA的兴趣和信心。 作者从接著到系统学习FPGA有两年多的时间了,学习FPGA的时间不长,期间因为没有专业的老师指导,自己摸索学习FPGA走了很多的弯路。有过问题迎刃而解的快乐,也有过苦苦寻求结果和答案的痛苦历程,回想起自己学习FPGA的历程,从开始的茫然,到后来的疯狂看书,购买开发板,在开发板上练习各种FPGA实例,到最后能独立完成项目,一路走来,感受颇多。发觉学习FPGA只要选择正确的方法是有捷径可走的,有很多人学习FPGA很长时间,因为没有找到正确的方法还是停留在入门阶段。 针对现状,作者从初学者的角度出发,结合作者学习FPGA的经验和亲身体会,遵循“宁可啰嗦一点,也不放过细节”的原则编写了详尽的实验教程作为艾米电子工作室开发套件的配套教程使用,主要面向FPGA初学者。FPGA的学习只有通过大量的操作与实践才能很好并快速的掌握。为此本实验教程从点亮LED 灯写起,深入浅出,以图文并茂的方式由易到难地列举了很多实例,采用手把手、Step by Step的方式让初学者以最快的方式掌握FPGA技术的开发流程以及Quartus II软件的使用,从而激起初学者学习FPGA的兴趣。在教程中作者采用“授人以渔”的方式,努力做到不仅讲述怎样进行试验,而且分析为什么这样做,以便初学者深刻理解并快速掌握FPGA的学习方法。 本实验教程的所有实例均在艾米电子工作室开发套件上验证通过,本教程虽然简单但编写也花费了作者大量的时间和精力,对于转载需要注明出处:https://www.sodocs.net/doc/2d13572104.html,(艾米电子工作室作者:静水流深),并未经艾米电子工作室同意不得用于其他商业用途。 FPGA技术是不断发展变化的,要掌握FPGA技术的精髓,需要设计者在实践中不懈地摸索与积累,逐步提高自己的设计水平,本实验教程试图对初学者起到快速入门的作用。但由于作者学习FPGA时间不长,水平有限,错漏和不严谨之处在所难免,欢迎大家批评指正。并请您将阅读中发现的错误或建议发送到作者Email:zhoujie9220@https://www.sodocs.net/doc/2d13572104.html,,以使本教程不断地完善。

相关主题