搜档网
当前位置:搜档网 › 数字信号源实验报告

数字信号源实验报告

数字信号源实验报告
数字信号源实验报告

实验一数字信号源实验

一、实验目的

1、了解单极性码、双极性码、归零码、不归零码等基带信号波形特点。

2、掌握集中插入帧同步码时分复用信号的帧结构特点。

3、掌握数字信号源电路组成原理。

二、实验内容

1、用示波器观察单极性非归零码(NRZ)、帧同步信号(FS)、位同步时钟(BS)。

2、用示波器观察NRZ、FS、BS三信号的对应关系。

3、学习电路原理图。

三、基本原理

本模块是实验系统中数字信号源,即发送端,其原理方框图如图1-1所示。本单元产生NRZ信号,信号码速率约为170.5KB,帧结构如图1-2所示。帧长为24位,其中首位无定义,第2位到第8位是帧同步码(7位巴克码1110010),另外16位为2路数据信号,每路8位。此NRZ信号为集中插入帧同步码时分复用信号。发光二极管亮状态表示‘1’码,熄状态表示‘0’码。

本模块有以下测试点及输入输出点:

? CLK-OUT 时钟信号测试点,输出信号频率为4.433619MHz

? BS-OUT 信源位同步信号输出点/测试点,频率为170.5KHz ? FS 信源帧同步信号输出点/测试点,频率为7.1KHz

? NRZ-OUT NRZ信号输出点/测试点

图1-3为数字信源模块的电原理图。图1-1中各单元与图1-3中的元器件对应关系如下:

?晶振CRY:晶体;U1:反相器7404

?分频器US2:计数器74161;US3:计数器74193;

US4:计数器40160

?并行码产生器KS1、KS2、KS3:8位手动开关,从左到右依次与帧同步码、数据1、数据2相对应;发光二极管左起分别与一帧中的24位代码相对应

?八选一US5、US6、US7:8位数据选择器4512

?三选一US8:8位数据选择器4512

?倒相器US10:非门74HC04

?抽样US9:D触发器74HC74

图1-1 数字信源方框图

图1-2 帧结构

下面对分频器,八选一及三选一等单元作进一步说明。

(1)分频器

74161进行13分频,输出信号频率为341kHz。74161是一个4位二进制加计数器,预置在3状态。

74193完成÷2、÷4、÷8、÷16运算,输出BS、S1、S2、S3等4个信号。BS为位同步信号,频率为170.5kHz。S1、S2、S3为3个选通信号,频率分别为BS信号频率的1/2、1/4和1/8。74193是一个4位二进制加/减计数器,当CD= PL =1、MR=0时,可在Q0、QB、QC及QD端分别输出上述4个信号。

40160是一个二一十进制加计数器,预置在7状态,完成÷3运算,在Q0和Q1端分别输出选通信号S4、S5,这两个信号的频率相等、等于S3信号频率的1/3。

分频器输出的S1、S2、S3、S4、S5等5个信号的波形如图1-4(a)和1-4(b)所示。

(2)八选一

采用8路数据选择器4512,它内含了8路传输数据开关、地址译码器和三态驱动器,其真值表如表1-1所示。US5、US6和US7的地址信号输入端A、B、C并连在一起并分别接S1、S2、S3信号,它们的8个数据信号输入端x0 ~ x7分别K1、K2、K3输出的8个并行信号连接。由表1-1可以分析出US5、US6、US7输出信号都是码速率为170.5Kbit/s、以8位为周期的串行信号。

(3)三选一

三选一电路原理同八选一电路原理。S4、S5信号分别输入到US8的地址端

A 和

B ,US5、US6、US7输出的3路串行信号分别输入到US8的数据端x3、x0、x1,U8的输出端即是一个码速率为170.5KB 的2路时分复用信号,此信号为单极性不归零信号(NRZ )。

S 3

S2S1

(a)

S5

S4S3

(b)

图1-4 分频器输出信号波形

(4)倒相与抽样

图1-1中的NRZ 信号的脉冲上升沿或下降沿比BS 信号的下降沿稍有点迟后。在实验二的数字调制单元中,有一个将绝对码变为相对码的电路,要求输入的绝对码信号的上升沿及下降沿与输入的位同步信号的上升沿对齐,而这两个信号由数字信源提供。倒相与抽样电路就是为了满足这一要求而设计的,它们使NRZ-OUT 及BS-OUT 信号满足码变换电路的要求。

表1-1 4512真值表

图1-3 数字信源电原理图

FS 信号可用作示波器的外同步信号,以便观察2DPSK 等信号。

FS 信号、NRZ-OUT 信号之间的相位关系如图1-5所示,图中NRZ-OUT 的无定义位为0,帧同步码为1110010,数据1为11110000,数据2为00001111。FS 信号的低电平、高电平分别为4位和8位数字信号时间,其上升沿比NRZ-OUT 码第一位起始时间超前一个码元。

FS

NRZ-OUT

图1-5 FS 、NRZ-OUT 波形

四、实验步骤

1、熟悉信源模块的工作原理以及时分复用的原理与应用。

2、打开电源开关及模块电源开关,用示波器观察数字信源模块上的各种信号波形。

3、用同轴电缆将FS 输出与示波器外同步信号输入端相连接,把FS 作为示波器的外同步信号,进行下列观察:

(1)示波器的两个通道探头分别接NRZ-OUT 和BS-OUT ,对照发光二极管的发光状态,判断数字信源单元是否已正常工作(1码对应的发光管亮,0码对应的发光管熄); (2)用拨码K1产生代码×1110010(×为任意代码,1110010为7位帧同步码),K2、K3产生任意信息代码,观察本实验给定的集中插入帧同步码时分复用信号帧结构,和NRZ 码特点。 4、(选做)用另外一种方法实现本实验中的时分复用。 四、实验报告

1、说明时分复用的原理与应用。

答:时分复用TDM 是采用同一物理连接的不同时段来传输不同的信号,也能达到多路传输的目的,主要应用于数字信号的传输和接入。

2、记录时钟信号、信源信号、帧同步信号与位同步信号的波形,并说明三

者之间的关系。

答:通过对NRZ 、FS 、BS 三个波形的分析得出NRZ 是由帧同步码和数据共同决定的,BS 是信源位同步,与码元周期同步,FS 是信源帧同步,是与其帧周期同步。

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

模拟信号源实验报告

实验1 模拟信号源实验 一、实验目的 1.了解本模块中函数信号产生芯片的技术参数; 2.了解本模块在后续实验系统中的作用; 3.熟悉本模块产生的几种模拟信号的波形和参数调节方法。 二、实验仪器 1.时钟与基带数据发生模块,位号:G 2.频率计1 台 3.20M 双踪示波器1 台 4.小电话单机1 部 三、实验原理 本模块主要功能是产生频率、幅度连续可调的正弦波、三角波、方波等函数信号(非同步函数信号),另外还提供与系统主时钟同源的2KHZ 正弦波信号(同步正弦波信号)和模拟电话接口。在实验系统中,可利用它定性地观察通信话路的频率特性,同时用做PAM、PCM、ADPCM、CVSD(Δ M)等实验的音频信号源。本模块位于底板的左边。 1.非同步函数信号 它由集成函数发生器XR2206 和一些外围电路组成,XR2206 芯片的技术资料可到网上搜索得到。函数信号类型由三档开关K01 选择,类型分别为三角波、正弦波、方波等;峰峰值幅度范围0~10V,可由W03调节;频率范围约500HZ~5KHZ,可由W02 调节;直流电平可由W01 调节(一般左旋到底)。非同步函数信号源结构示意图,见图2-1。 2.同步正弦波信号 它由2KHz 方波信号源、低通滤波器和输出放大电路三部分组成。2KHz 方波信号由“时钟与基带数据发生模块”分频产生。U03 及周边的阻容网络组成一个截止频率为2KHZ 的低通滤波器,用以滤除各次谐波,只输出一个2KHz 正弦波,在P04 可测试其波形。用其作为PAM、PCM、ADPCM、CVSD(Δ M)等模块的音频信号源,其编码数据可在普通模拟示波器上形成稳定的波形,便于实验者观测。W04 用来改变输出同步正弦波的幅度。同步信号源结构示意图,见图2-2。

信号发生器实验报告

低频电路课程设计 OCL 功率放大器设计 学院名称: 电气信息工程学院 专 业: 测控技术与仪器 班 级: 08测控1班 姓 名: 朱彬彬 学 号: 08314105 指导老师: 王云松 2010年 6 月20 日 JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 电气信息工程学院

1设计课题:OCL功率放大器 为了保证功率,效率和失真三个指标满足一定的要求,早期的功率放大器多采用变压耦合。这种电路变压器体积大,比较笨重,耗损多,而且高频和低频部分频响特性不好,在引入负反馈时,很容易自激。随着电子技术的发展,后来被无输出变压器的功率放大电路(OTL)代替。在OTL电路中,虽去掉了变压器,但为了能用但电源供电,输出端接了一个大电容,这个大电容影响了电路的低频特性,于是出现了OCL电路。 OCL功放是在OTL功放的基础上发展起来的,它比OTL功放的频带更宽,保真度更高。OCL功放是一种直接耦合的多级放大器,它运用了许多电子器件,包含了多种基本电路形式。 OCL功率放大器采用两组电源供电,使用了正负电源,在电压不太高的情况下,也能获得较大的输出功率,省去了输出端的耦合电容,使放大器低频特性得到扩展,OCL功放电路也是定压式输出电路,其电路由于性能比较好,所以广泛的应用于高保真扩音设备中。 2 主要技术指标 最大不失真输出功率:Pom≥8w 负载阻抗(扬声器):R L=10Ω 频率响应:f=50Hz~20kHz 非线性失真系数:γ≤功率放大器1% 输入灵敏度:Vi≤300mv 稳定性:电源升高和降低20%时,输出零点漂移≤100mv 3实验用仪器: 直流稳压电源一台 低频信号发生器一台 低频毫伏表一台 示波器一台 万用表一台 晶体管图示仪一台 失真度测量仪一台 4电路原理 OC L功率放大器时一种直接耦合的多级放大器,总体可分为三个部分

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

数字电子时钟实验报告材料

华大计科学院 数字逻辑课程设计说明书 题目:多功能数字钟 专业:计算机科学与技术 班级:网络工程1班 姓名:刘群 学号: 1125111023 完成日期:2013-9

一、设计题目与要求 设计题目:多功能数字钟 设计要求: 1.准确计时,以数字形式显示时、分、秒的时间。 2.小时的计时可以为“12翻1”或“23翻0”的形式。 3.可以进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率 1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1 数字电子时钟方案框图

⑴多谐振荡器电路 多谐振荡器电路给数字钟提供一个频率1Hz 的信号,可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求,时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。 ⑷数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、R2,电容C1、C2 构成一个多谐振荡器,利用电容的充放电来调节输出V0,产生矩形脉冲波作为时钟信号,因为是数字钟,所以应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路,按时间进制从右到左构成从低位向高位的进位电路,并通过译码显示。在六位LED 七段显示起上显示

数字信号源实验报告

实验一数字信号源实验 一、实验目的 1、了解单极性码、双极性码、归零码、不归零码等基带信号波形特点。 2、掌握集中插入帧同步码时分复用信号的帧结构特点。 3、掌握数字信号源电路组成原理。 二、实验内容 1、用示波器观察单极性非归零码(NRZ)、帧同步信号(FS)、位同步时钟(BS)。 2、用示波器观察NRZ、FS、BS三信号的对应关系。 3、学习电路原理图。 三、基本原理 本模块是实验系统中数字信号源,即发送端,其原理方框图如图1-1所示。本单元产生NRZ信号,信号码速率约为170.5KB,帧结构如图1-2所示。帧长为24位,其中首位无定义,第2位到第8位是帧同步码(7位巴克码1110010),另外16位为2路数据信号,每路8位。此NRZ信号为集中插入帧同步码时分复用信号。发光二极管亮状态表示‘1’码,熄状态表示‘0’码。 本模块有以下测试点及输入输出点: ? CLK-OUT 时钟信号测试点,输出信号频率为4.433619MHz ? BS-OUT 信源位同步信号输出点/测试点,频率为170.5KHz ? FS 信源帧同步信号输出点/测试点,频率为7.1KHz ? NRZ-OUT NRZ信号输出点/测试点 图1-3为数字信源模块的电原理图。图1-1中各单元与图1-3中的元器件对应关系如下: ?晶振CRY:晶体;U1:反相器7404 ?分频器US2:计数器74161;US3:计数器74193; US4:计数器40160 ?并行码产生器KS1、KS2、KS3:8位手动开关,从左到右依次与帧同步码、数据1、数据2相对应;发光二极管左起分别与一帧中的24位代码相对应 ?八选一US5、US6、US7:8位数据选择器4512 ?三选一US8:8位数据选择器4512 ?倒相器US10:非门74HC04 ?抽样US9:D触发器74HC74

函数信号发生器实训报告

电子与信息工程 综合实验课程报告 实验名称:基于单片机的信号发生器的设计与实现班级:电子1班 组员:徐丹许艳徐梅 指导教师:张辉 时间:2013-6-8至2011-6-16

目录 前言......................................................................... 错误!未定义书签。 1 波形发生器概述 (2) 1.1波形发生器的发展状况 (2) 1.2国内外波形发生器产品比较 (3) 2 方案论证与比较 (4) 2.1 方案一 (4) 2.2 方案二 (5) 2.3 方案三 (5) 3 硬件原理 (5) 3.1 MCS-51单片机的内部结构 (6) 3.1.1 内部结构概述 (6) 3.1.2 CPU结构 (6) 3.1.3 存储器和特殊功能寄存器 (7) 3.2 P0-P3口结构 (7) 3.3 时钟电路和复位电路 (8) 3.3.1时钟电路 (8) 3.3.2单片机的复位状态 (9) 3.4 DAC0832的引脚及功能 (10) 4 软件原理 (11) 4.1 主流程图 (12) 4.1.1 方波仿真图 (13) 4.1.2 三角波仿真图 (14) 4.1.3 锯齿波仿真图 (15) 4.1.4 梯形波仿真图 (16) 4.1.5 正弦波仿真图 (17) 4.2附录:实物图 (17) 总结 (18) 致谢 (19) 参考文献 (19)

1 波形发生器概述 在电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域,经常需要用到各种各样的信号波形发生器。随着集成电路的迅速发展,用集成电路可很方便地构成各种信号波形发生器。用集成电路实现的信号波形发生器与其它信号波形发生器相比,其波形质量、幅度和频率稳定性等性能指标,都有了很大的提高。 1.1波形发生器的发展状况 波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。 在70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。 在70 年代后,微处理器的出现,可以利用处理器、A/D/和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。 90 年代末,出现几种真正高性能、高价格的函数发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。HP8770A实际上也只能产生8 中波形,而且价格昂贵。不久以后,Analogic公司推出了型号为Data-2020的多波形合成器,Lecr oy 公司生产的型号为9100 的任意波形发生器等。 到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz 的DDS 芯片,同时也推动了函数波形发生器的发展,2003 年,Agilent 的产品33220A能够产生17 种波形,最高频率可达到20M,2005 年的产品N6030A 能够产生高达500MHz 的频率,采样的频率可达1.25GHz。由上面的产品可以看出,函数波形发生器发展很快近几年来,国际上波形发生器技术发展主要体现在以下几个方面:

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

数字电子钟实验报告

咸阳师范学院物理与电子工程学院 课程设计报告 题目: 班级: 姓名: 学号: 指导教师: 成绩: 完成日期:年月

目录 第一章概述 3 第二章数字电子钟的电路原理 4 第三章电路调试与制作11 第四章总结与体会12 第五章附录13

第一章概述 数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运运超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 虽然市场上已有现成的数字集成电路芯片出售,价格便宜,使用方便,这里所制作的数字电子可以随意设置时,分的输出,是数字电子中具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。 课程设计目的 (1)加强对电子制作的认识,充分掌握和理解设计个部分的工作原理、设计过程、选择芯片器件、电路的焊接与调试等多项知识。 (2)把理论知识与实践相结合,充分发挥个人与团队协作能力,并在实践中锻炼。 (3)提高利用已学知识分析和解决问题的能力。 (4)提高实践动手能力。

第二章数字电子钟的电路原理 数字电子钟的设计与制作主要包括:数码显示电路、计数器与校时电路、时基电路和闹铃报时电路四个部分。 1.数码显示电路 译码和数码显示电路是将数字钟的计时状态直观清晰地反映出来。显示器件选用FTTL-655SB双阴极显示屏组。在计数电路输出信号的驱动下,显示出清晰的数字符号。 2.计数器电路 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。 3.校时电路 数字钟电路由于秒信号的精确性和稳定性不可能做到完全准确无误,时基电路的误差会累积;又因外部环境对电路的影响,设计产品会产生走时误差的现象。所以,电路中就应该有校准时间功能的电路。通过手动调节按键,达到校准的目的。 4.定时报警电路 当调好定时间后并按下开关K1(白色键),显示屏右下方有红点指示,到定时时间有驱动信号经R3使VT1工作,即可定时报警输出。 芯片资料 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。作为时钟,它准确醒目;作为控制开关,它动作无误;在1小时59分钟或59分钟内,能任意暂停,使用十分方便。 仔细观察从0-9的每个数字并比较图1所示的笔段。内部电路参看图2, LM8560各脚功能,参看图3。

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

模电函数信号发生器实验报告

电子电路模拟综合实验 2009211120 班 09210580(07)号 桂柯易

实验1 函数信号发生器的设计与调测 摘要 使用运放组成的积分电路产生一定频率和周期的三角波、方波(提高要求中通过改变积分电路两段的积分常数从而产生锯齿波电压,同时改变方波的占空比),将三角波信号接入下级差动放大电路(电流镜提供工作电流),利用三极管线性区及饱和区的放大特性产生正弦波电压并输出。 关键词 运放积分电路差动发达电路镜像电流源 实验内容 1、基本要求: a)设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器。 1)输出频率能在1-10KHz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; 3)三角波Uopp=8V; 4)正弦波Uopp>1V。 b)设计该电路的电源电路(不要求实际搭建),用PROTEL软件绘制完整的 电路原理图(SCH) 2、提高要求: a)三种输出波形的峰峰值Uopp均可在1V-10V范围内连续可调。 b)三种输出波形的输出阻抗小于100欧。 c)用PROTEL软件绘制完整的印制电路板图(PCB)。 设计思路、总体结构框图 分段设计,首先产生方波-三角波,再与差动放大电路相连。 分块电路和总体电路的设计(1)方波-三角波产生电路: 正弦波产生电路三角波产生电路 方波产生电路

首先,稳压管采用既定原件2DW232,保证了输出方波电压Uo1的峰峰值为12V,基本要求三角波输出电压峰峰值为8V,考虑到平衡电阻R3的取值问题,且要保证R1/Rf=2/3,计算决定令Rf=12K,R1=8K,R3=5K。又由方波的上升、下降沿要求,第一级运放采用转换速度很快的LM318,Ro为输出限流电阻,不宜太大,最后采用1K欧电阻。二级运放对转换速度要求不是很高,故采用UA741。考虑到电容C1不宜过小,不然误差可能较大,故C1=0.1uF,最后根据公式,Rw抽头位于中点时R2的值约为300欧,进而确定平衡电阻R4的阻值。考虑到电路的安全问题,在滑阻的接地端串接了一个1K的电阻。(注:实际调测时因为滑阻转动不太方便,所以通过不断换滑阻的方式确定适当频率要求下Rw的阻值,我的电路最后使用的是1K欧的滑阻) (2)正弦波产生电路:

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

函数信号发生器实验报告

北京邮电大学 电子电路综合设计实验报告 课题名称:函数信号发生器的设计和调试 院系:信息与通信工程学院 班级: 2012211113 姓名:李鸣野 学号:2012210362 班内序号:01 摘要 函数(波形)信号发生器能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。方波-三角波产生电路主要有运放组成,其中由施密特触发器多谐振荡器产生方波,积分电路将方波转化为三角波,差分电路实现三角波-正弦波的变换。该电路振荡频率由第一个电位器调节,输出方波幅度的大小由稳压管的稳压值决定;正弦波幅度和电路的对称性分别由后两个电位器调节。

关键词:方波,三角波,正弦波 基本要求: a)设计一个设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器 1)输出频率能在1-10khz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%--70%; 3)三角波Uopp=8V; 4)正弦波Uopp≥1V。 b)用PROTEL软件绘制完整的电路原理图(SCH) 设计思路: 要产生方波,需要用稳压管和比较器组成方波产生电路。稳压管为实验提供的6v稳压管。方波经过RC积分电路积分得到三角波,幅度为Uo2m=±(UZ+UD),由R1和Rf的比值及稳压管的稳压值决定,实验要求三角波峰峰值为8v,故根据公式推导后,选用20K的电阻作为R1,30K的电阻作为Rf。R3为12K。R4为直流平衡电阻,应与R2保持一致,均为5K。R0为限流电阻,根据实验要求选用2K。 三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。R e取阻值为100Ω,C1、C2、C4为隔直流电容,取C1=C2=C3=33uF。Rp1调节三角波

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

数字电子时钟实验报告

一、设计题目与要求 设计题目:多功能数字钟 设计要求: 1.准确计时,以数字形式显示机器人行走的时、分、秒的时间。 二、设计原理 1数字钟的组成部分 ⑴555定时器组成的方波发生电路 多谐振荡器电路给数字钟提供一个频率1Hz 的信号,可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路分成三个模块,时,分,秒:时用24进制计数器实现;分,秒用60进制计数器实现。 ⑶译码显示电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态,并在显示电路显示相应系数。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、R2,电容C1、C2 构成一个多谐振荡器,利用电容的充放电来调节输出V0,产生矩形脉冲波作为时钟信号,因为是数字钟,所以应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元

六片74LS90 芯片构成计数电路,按时间进制从右到左构成从低位向高位的进位电路,并通过译码显示。在六位LED 七段显示起上显示对应的数值。 三、元器件 1.实验中所需的器材. Vcc 5V 电源?. 共阴七段数码管6 个?. 74LS90D 集成块6 块?. 74HC00D 6个以及其他元件 LM555CM 1个 电阻 6个 10uF 电容 2个 2.芯片内部结构及引脚图

图2 LM555CM集成块 图374LS90D集成块 五、各功能块电路图 1秒脉冲发生器主要由555 定时器和一些电阻电容构成,原理是利用555 定时器的特性,通过电容的充放电使VC 在高、低电平之间转换。其中555 定时器的高、低电平的门阀电压分别是2/3VCC 和1/3VCC 当电容器充电使VC 的电压大于2/3VCC 则VC 就为高电平,然 而由于反馈作用又会使电容放电。当VC 小于1/3VCC 时,VC 就为低电平。同样由于反馈作用又会使电容充电。通过555 定时器的这一性质我们就可以通过计算使他充放电的周期刚好为1S这样我们就会得到1HZ 的信号。其中555 定时器的一些功能对照后面目录。其中555 定时器组成的脉冲发生器电路见:方波发生器的部分。

信号发生器实验报告

电子线路课程设计报告设计题目:简易数字合成信号发生器 专业: 指导教师: 小组成员:

数字合成信号发生器设计、调试报告 一:设计目标陈述 设计一个简易数字信号发生器,使其能够产生正弦信号、方波信号、三角波信号、锯齿波信号,要求有滤波有放大,可以按键选择波形的模式及周期及频率,波形可以在示波器上 显示,此外可以加入数码管显示。 二、完成情况简述 成功完成了电路的基本焊接,程序完整,能够实现要求功能。能够通过程序控制实现正弦波的输出,但是有一定噪声;由于时间问题,我们没有设计数码管,也不能通过按键调节频率。 三、系统总体描述及系统框图 总体描述:以51单片机开发板为基础,将输出的数字信号接入D\A转换器进行D\A转换,然后接入到滤波器进行滤波,最后通过运算放大器得到最后的波形输出。 四:各模块说明 1、单片机电路80C51 程序下载于开发板上的单片机内进行程序的执行,为D\A转换提供了八位数字信号,同时为滤波器提供高频方波。通过开发板上的232串口,可以进行软件控制信号波形及频率切换。通过开发板连接液晶显示屏,显示波形和频率。 2、D/A电路TLC7528 将波形样值的编码转换成模拟值,完成单极性的波形输出。TLC7528是双路8位数字模拟转换器,本设计采用的是电压输出模式,示波器上显示波形。直接将单片机的P0口输出传给TLC7528并用A路直接输出结果,没有寄存。 3、滤波电路MAX7400 通过接收到的单片机发送来的高频方波信号(其频率为所要实现波频率的一百倍)D转换器输出的波形,对转换器输出波形进行滤波并得到平滑的输出信号。 4、放大电路TL072

TL072用以对滤波器输出的波进行十倍放大,采用双电源,并将放大结果送到示波器进行波形显示。 五:调试流程 1、利用proteus做各个模块和程序的单独仿真,修改电路和程序。 2、用完整的程序对完整电路进行仿真,调整程序结构等。 3、焊接电路,利用硬件仿真器进行仿真,并用示波器进行波形显示,调整电路的一些细节错误。 六:遇到的问题及解决方法 遇到的软件方面的问题: 最开始,无法形成波形,然后用示波器查看滤波器的滤波,发现频率过低,于是检查程序发现,滤波器的频率设置方面的参数过大,延时程序的参数设置过大,频率输出过低,几次调整好参数后,在进行试验,波形终于产生了。 七:原理图和实物照片 波形照片:

相关主题