搜档网
当前位置:搜档网 › Macbook上装windows 7 安装步骤细解

Macbook上装windows 7 安装步骤细解

Macbook上装windows 7 安装步骤细解
Macbook上装windows 7 安装步骤细解

Macbook上装windows 7 安装步骤细解时间:2010-12-08 09:08 来源:网络收集收藏复制分享共有评论(0)条

上月,全新的Macbook air在国内开卖。不过,苹果雪豹系统不是不好用,只是我们多年来已习惯了windows系统的操作界面,并且国内很多网站、软件程序不兼容雪豹系统,只能在windows系统下运行,导致你在使用Macbook时“抓狂”。本期达人教你如何鱼与熊掌可兼得。就是在Macbook上装windows 7,跑双系统。

此前我们的达人曾介绍过在普通PC上装雪豹系统,相比而言,在Macbook上装windows 7要简单些,不过,有些步骤依旧要留神,否则也会出现“拍砖”现象。

1.在macbook中打开Finder,找到Applications这一项,打开当中的BootCamp。

2.点击BootCamp,进入其软件界面,根据其提示选择“继续”。点击“继续”后,会看到一个界面写着“Create a Partition for Windows”(制作用于windows的分区),在这里你可以建立一个Windows分区,即在Mac系统与windows系统中分配硬盘空间。Windows 7系统大概10GB空间就可以,如果你想在其中安装很多程序,就分多一些硬盘空间给它,如20GB、32GB等,简单来说,你在哪个操作系统中安装程序需求多,硬盘空间就谁多一点。随后点击“分割”,该程序就自动帮你分区硬盘。

3.分区完成后,就可以安装windows7了。插入事先准备好的windows 7安装盘,点解“开始安装”。这时你的电脑将重启并进入windows 7安装界面,之后就像在普通PC上安装windows系统一样操作。在这里有个地方要注意,就是去到“安装方式”界面时,记得要选择“自定义”方式安装。

4.当进入选择安装windows系统位置的提示界面时,务必要选择标有“Bootcamp”的磁区,谨记不能选错分区。然后点击“Drive Optiongs”,之后是“Format”,格式化分区。此时界面上会出现一个对话框,点击“OK”就行了。格式化完毕后,点击下一步,windows 7安装开始复制文件,之后又是一段时间时间的等待,也无需用户进行任何操作。

5.经过两次重启后,windows 7基本安装完成,此时会弹出一个窗口,要求你输入用户名与计算机名字。两个名字可以随便起,但不能相同。而在密码设定步骤后,系统会要求你输入windows 7的产品序列号,这个序列号是在你下载Windows 7时,在最后一个画面显示,你要记下来在这里使用。输入争取的序列号,就能设置时间、网络、使用环境等。

6.经过以上步骤,终于进入了大家熟悉的windows 7桌面。点击“我的电脑”推出windows 7的安装盘,之后插入原本Macbook配的雪豹系统光盘,光盘运行后会出现安装Boot Camp 界面,根据界面提示一步一步点击,勾选“apple Software Upate for Windows”的选项,接着,BootCamp就开始安装Windows必须的Macbook驱动程序。

7.最后点击“安装完毕”,电脑重启,驱动程序生效,若能再次进入windows系统,就证明你已成功在macbook上安装windows 7。

整个过程要注意的是,若你的BootCamp驱动程序版本不是3.1,就要安装完3.0后,再去下载3.1版本在windows 7中重新安装一次。另外,你会在windows 7,我的电脑中发现一个Macintosh HD的区盘,这就是在windows系统下共享Macbook的文档,但只能读取,不能写入。

两个系统,你只要在开机时按住“option”键,或Apple remote都可选择Mac OS X

或者Windows。

虽然是双系统,但有某个系统会经常使用,这时你可以把常用的操作系统设定为预设开机,方法是进入macbook的“系统偏好设置”-“启动磁盘”,选择你要雪豹还是windows 7,然后“重新开机”,这样机器就会按照你的选择,开机时自动启动某个操作系统。若要转换成另一个系统,只需按下Options就得了。

一键U盘安装Win7系统教程

一键U盘安装Win7系统教程 如今安装操作系统变得超简单,菜鸟也可以轻松一键U盘安装Win7系统了。下面本文与大家分享下如何轻松使用U盘一键安装Win7系统,每个菜鸟朋友均可以轻松学会U盘装Win7系统,大家知需要准备U盘,然后制作下U盘启动,再将系统文件放置U盘即可,非常容易上手,下面一起来看今天的教程吧。 准备:一键U盘安装Win7系统所需工具: 1、U盘一个(容量至少8G以上,4G勉强也可以); 2、下载好Win7系统,制作好U盘启动; 说明:如今U盘都很便宜,8G以上U盘也不过几十元,大家可以到电脑城或者网购U盘均可,最好选用8G以上U盘,因为如今的Win7系统文件普遍在4G左右,Win8系统更大,因此U盘容量过小,系统文件无法放入。 购买好之后,将U盘插入电脑,然后再网上下载一个U盘启动软件,一键制作U盘启动,此类软件很多,比如大白菜、U大师、电脑店、老毛桃等等均可,随便下载一个软件安装,之后即可按照提示,一键制作好U盘启动,超简单。 将U盘制作成了启动盘之后,我们就需要下载Win7系统了,由于Win7系统很大,下载需要很长时间,建议大家可以提前晚上睡觉前将迅雷7打开挂着电脑下载Win7系统文件,记得设置一下下载完成之后自动关机,然后就去睡觉吧,第二天起来就下载好了,无需干等着下载。

百度搜索Win7系统下载 找到自己喜欢的Win7系统下载 猜你用的到:迅雷7下载完成后自动关机的设置方法 至此制作好了U盘启动,并且下载好了Win7系统,那么准备工作就完成了,下面就可以进入一键U盘安装Win7之旅吧,以下演示的是使用U大师制作的U 盘启动教程,其他诸如大白菜、电脑店等基本大同小异。 一键U盘安装Win7系统步骤:

U盘安装win7(32位)系统原版安装版图文教程_超详细!!!

此教程只适用win7(32位) 注意!备份驱动程序 设置U盘启动教程参考地址: https://www.sodocs.net/doc/373500636.html,/view/603a01ca0c22590102029d41.html?st=1 U盘制作教程参考地址: https://www.sodocs.net/doc/373500636.html,/view/dd9a522dccbff121dd368343.html?st=1 Win原版下载地址: ed2k://|file|cn_windows_7_ultimate_with_sp1_x86_dvd_u_677486.iso|2653276160|75 03E4B9B8738DFCB95872445C72AEFB|/ 电脑店U盘工具地址:https://www.sodocs.net/doc/373500636.html,/ 进PE利用虚拟光驱工具进行安装 以下安装过程是在虚拟机上完全安装的,和实际安装过程完全一样。 具体步骤: 1、先使用电脑店U盘启动盘制作工具制作完U盘启动。 注意:进入启动菜单,然后选择第十一个“win7/win8”选项,进入第一个PE。

2、插入U 盘进入PE,先把要装系统的盘格式化一下。

行加载。

4、打开光盘镜像后如图所示,之后把这个程序最小化就不用管他了,现在打开“我的电脑”,是不是多了一个盘符,这就说明光盘镜像已经顺利得加载到虚拟光驱中了。下面执行光盘根目录下的 SETUP.EXE 开始安装(这个步骤,每个安装盘可能有所不同,有的就是SETUP.EXE ,也有叫做 WINNT32.BAT,也可能叫做 XP安装器之类的,本文章的例子就是SETUP.EXE)(打开SETUP.EXE安装之前注意拔掉U盘,不然文件会自动写进U盘里,无法 进行下一步重启安装)。 5、双击SETUP.EXE打开,会出现安windows7安装欢迎安装窗口,点击“现在安装”。

微软Windows7旗舰版光盘安装图解教程(带详细步骤)

Windows7光盘安装教程 将Windows7安装光盘放入光驱,在电脑启动时进入BIOS并把第一启动设备设置为光驱,按F10保存设置并退出BIOS。 1.电脑自动重启后出现下图提示,请按键盘任意键从光驱启动电脑。 2.电脑从光驱启动后开始加载安装程序文件 3.安装程序文件加载完成后出现Windows7安装界面,因为Windows7安装光盘是简体中文的,所以这里全部选择默认值,点击下一步。 4.点击现在安装按钮开始安装。 5.出现许可协议条款,在“我接受许可条款”前面打上勾,接着下一步。 6.出现安装类型选择界面,因为我们不是升级,所以选择自定义(高级)选项

7.出现安装位置选择界面,在这里选择安装系统的分区,如果要对硬盘进行分区或格式化操作,点击驱动器选项(高级)。 8.这里可以对硬盘进行分区,也可对分区进行格式化。选择好安装系统的分区后,点击下一步。由于Windows7在安装时会自动对所在分区进行格式化,所以这里我们可以无需对安装系统的分区进行格式化。 9.Windows7开始安装。 10.安装完成后,电脑需要重新启动。 11.电脑重新启动后开始更新注册表设置。 12.启动服务。

13.这时才进入最后的完成安装阶段。 14.完成安装阶段完成后,电脑需要重新启动。 15.电脑重新启动后,安装程序为首次使用计算机做准备。 16.输入用户名和计算机名称,点击下一步。 17.为帐户设置密码,如果这里不设置密码(留空),以后电脑启动时就不会出现输入密码的提示,而是直接进入系统 18.设置系统更新方式,建议选择推荐的选项。

19.设置电脑的日期和时间。 20.设置网络位置,有家庭、工作和公用三个选项,其中家庭网络最宽松,公用网络最严格,根据自己的实际情况进行选择。 21.完成设置。 22.准备桌面。 23.欢迎界面,开始登录系统。 24.进入系统桌面。

Win7系统安装过程中硬盘分区

Win7系统安装过程中硬盘分区 阿斯兰萨拉发表于 2012-10-12 Win7在安装过程中建立的都是主分区,只能有4个,所以如果想要分出超过4个分区,就需要分出扩展分区,而扩展分区默认是无法用的,我们需要在扩展分区上再次分区,分出逻辑分区,这样才可以用。 一、安装系统过程中分区 Win7在安装过程中分区和XP有两个最大的不同: 1、会多一个系统保留分区(主分区) 2、新建的分区都是主分区 1、在下图的步骤时,必须选择“自定义(高级)” 2、选择“驱动器选项(高级)”

3、这时会多出删除、格式化、新建三个选项,而新建默认是灰色的无法选择

4、选中未分配的空间,新建变成彩色,点击新建。如果是重新分区,先删除原有分区。 5、按照默认的分区建立顺序,第一个建立的就是C盘,输入你要建立分区的大小,这里的单位是MB,1G=1024MB

6、点击确定 7、按照刚才的方法,建立其它分区,但要注意,就像本楼最开始说的,在安装过程中建立的都是主分区,只能有4个,如下图,一个系统保留分区,3个主分区,虽然还有19.5GB的未分配磁盘空间,但是“新建”又变成了灰色,无法新建。

所以,(1)如果你正好想要建立4个分区的话,可以参考此帖(删除系统保留分区的方法),那么分 区就完毕了,选择C盘的分区,就可以装系统了;(2)如果想要建立超过4个分区,可以只分出C盘,剩下的保持原来的未分配状态,请看(超过4个分区的分区方法) 二、超过4个分区的分区方法 上面介绍了主分区和扩展分区,所以,如果想要分出超过4个分区,就要使用扩展分区 A、建立扩展分区 1、下载并运行DiskGenius(下载地址) 另外装win7一定不能用PQmagic分区,不然系统必死。 2、右键点击灰色的未分区空闲空间,选择建立新分区

win7安装版硬盘安装过程图解

]win7安装版硬盘安装过程图解 看到很多会员使用老的方法硬盘安装WIN7都失败了,虚拟光驱安装也不行了,其实是方法改了,而且老的XP的PE现在也没法在WIN7安装版下安装,所以做了个图解安装。(感谢anlyandyli 热心会员提供) 首先,将WIN7的安装包解压出来,一般情况下,你下载的都是ISO格式的镜像,解压出来后会有下图这样的文件: 将这些文件复制到一个非系统盘的根目录下,系统盘大多数都是C盘,而根目录就是某个磁盘,比如F盘双击后进去的界面。 可以对照一下,是否有这些文件 ps:那个NT6的文件可以暂时忽略,下载在下面。 下面就需要一个软件来帮忙了,就是NT6 HDD Installer,1楼里面已经提供了文件,可以下载一下,下载后放到之前存放win7安装文件的盘符的根目录,也就是和win7的安装文件放到一起 然后运行,会出现下面的窗口,如果您现在的系统是XP可以选择1,如果是vista或者win7选择2,大家看一下就能明白,选择后按回车开始安装,1秒钟左右结束,之后就是重启系统了

在启动过程中会出现如下界面,这时选择新出来的nt6 hdd Installer mode 1选项: OK,下面就开始安装了哦,下图这步很简单:

下图一定要点那个现在安装 许可条款:

这里强烈建议选择自定义安装,也就是第二个,第一个升级会非常非常的缓慢,得不偿失 OK,下面的步骤几乎都是按照提示就可以了,比较简单,就不安装下去了, 本帖隐藏的内容 nt6 hdd installer v2.8.5.rar(685.9 KB, 下载次数: 1361)

U盘安装win7系统原版安装版图文教程

安装原版Win7的方法 注意备份驱动程序 设置U盘启动教程参考地址: https://www.sodocs.net/doc/373500636.html,/view/6c5d476327d3240c8447ef2a.html U盘制作教程参考地址: https://www.sodocs.net/doc/373500636.html,/view/6ac2d192dd88d0d233d46a35.html? st=1 Win旗舰版下载地址: ed2k://|file|cn_windows_7_ultimate_with_sp1_x86_dvd_u_6774 86.iso|2653276160|7503E4B9B8738DFCB95872445C72AEFB|/ 电脑店U盘工具地址:https://www.sodocs.net/doc/373500636.html,/ 进PE利用虚拟光驱工具进行安装 以下安装过程是在虚拟机上完全安装的,和实际安装过程完全一样。 具体步骤: 1、先使用电脑店U盘启动盘制作工具制作完U盘启动。 注意:进入启动菜单,然后选择第十一个菜单,进入第一个PE。

2、插入U 盘进入PE,先把要装系统的盘格式化一下。

行加载。

4、打开光盘镜像后如图所示,之后把这个程序最小化就不用管他了,现在打开“我的电脑”,是不是多了一个盘符,这就说明光盘镜像已经顺利得加载到虚拟光驱中了。下面执行光盘根目录下的 SETUP.EXE 开始安装(这个步骤,每个安装盘可能有所不同,有的就是SETUP.EXE ,也有叫做 WINNT32.BAT,也可能叫做 XP安装器之类的,本文章的例子就是SETUP.EXE)(打开SETUP.EXE安装之前注意拔掉U盘,不然文件会自动写进U盘里,无法进行下一步重启安装)。 5、双击SETUP.EXE打开,会出现安windows7安装欢迎安装窗口,点击“现在安装”。

硬盘安装win7系统过程详细图解

硬盘安装win7系统过程详细图解 2011-9-7 16:58 husquan_KM 摘要: 看到很多同学使用比较旧的方法来使用硬盘安装WIN7都没有成功了,其实是硬盘安装WIN7方法改了,今天做了个图解硬盘安装WIN7的文章,其实也很简单。 1、下载解压WIN7的安装包用winrar、winzip、7Z等等都可以解压, ... 看到很多同学使用比较旧的方法来使用硬盘安装WIN7都没有成功了,其实是硬盘安装WIN7方法改了,今天做了个图解硬盘安装WIN7的文章,其实也很简单。 1、下载解压WIN7的安装包 用winrar、winzip、7Z等等都可以解压,一般情况下,你下载的都是ISO格式的镜像,你可以将后缀名ISO改为RAR,解压出来后会有下面这样的文件: 2、复制Win7文件到根目录 将这些文件复制到一个非系统盘的根目录下,系统盘大多数都是C盘,而根目录就是某个磁盘,比如F盘双击后进去的界面,注意:一定不要放到文件夹里。 3、下载nt6_hdd软件 下载nt6_hdd_installer:https://www.sodocs.net/doc/373500636.html,/portal.php?mod=attachment&id=2359 下载nt6_hdd_installer:https://www.sodocs.net/doc/373500636.html,/portal.php?mod=attachment&id=2360 下载nt6_hdd软件,下载后放到之前存放win7安装文件的盘符的根目录,也就是和win7的安装文件放到一起。如图:

4、选择自己当前的系统版本 运行nt6_hdd,会出现下面的窗口,如果您现在的系统是XP可以选择1,如果是vista或者win7选择2,选择后按回车开始安装,1秒钟左右结束,之后就是重启系统了。 5、开始安装Win 7系统 在启动过程中会出现如下界面,这时选择新出来的nt6 hdd Installer mode 1选项,下面就开始安装了,按照安装步骤一步一步就行了,其中需要设置的地方,自己看着办。

Windows7光盘安装教程

Windows7光盘安装教程 首先将Windows7安装光盘放入光驱,在电脑启动时进入BIOS并把第一启动设备设置为光驱,按F10保存设置并退出BIOS。 1.电脑自动重启后出现下图提示,请按键盘任意键从光驱启动电脑。 2.电脑从光驱启动后开始加载安装程序文件 3.安装程序文件加载完成后出现Windows7安装界面,因为Windows7安装光盘是简体中文的,所以这里全部选择默认值,点击下一步。 4.点击现在安装按钮开始安装。 5.出现许可协议条款,在“我接受许可条款”前面打上勾,接着下一步。 6.出现安装类型选择界面,因为我们不是升级,所以选择自定义(高级)选项

7.出现安装位置选择界面,在这里选择安装系统的分区,如果要对硬盘进行分区或格式化操作,点击驱动器选项(高级)。 8.这里可以对硬盘进行分区,也可对分区进行格式化。选择好安装系统的分区后,点击下一步。由于Windows7在安装时会自动对所在分区进行格式化,所以这里我们可以无需对安装系统的分区进行格式化。 9.Windows7开始安装。 10.安装完成后,电脑需要重新启动。 11.电脑重新启动后开始更新注册表设置。 12.启动服务。

13.这时才进入最后的完成安装阶段。 14.完成安装阶段完成后,电脑需要重新启动。 15.电脑重新启动后,安装程序为首次使用计算机做准备。 16.输入用户名和计算机名称,点击下一步。 17.为帐户设置密码,如果这里不设置密码(留空),以后电脑启动时就不会出现输入密码的提示,而是直接进入系统 18.设置系统更新方式,建议选择推荐的选项。

19.设置电脑的日期和时间。 20.设置网络位置,有家庭、工作和公用三个选项,其中家庭网络最宽松,公用网络最严格,根据自己的实际情况进行选择。 21.完成设置。 22.准备桌面。 23.欢迎界面,开始登录系统。 24.进入系统桌面。

重装系统Win7步骤和详细教程(2014版_附系统映像)

本贴针对那些不会重装系统的用户,虽然重装系统很简单,但是还是有一些小白是不会重装的,本教程现在就详细的讲一下重装系统的具体步骤,本帖以重装Windows7系统为例,讲述一下重装系统的详细步骤。 首先,重装系统之前需要将电脑中的重要资料备份和转移,这是非常重要的,备份完成后,下面我们开始重装系统 第一步 下载Onekey ghost下载地址 https://www.sodocs.net/doc/373500636.html,/soft/detail/23409.html 下载Windows7映像下载地址 https://www.sodocs.net/doc/373500636.html,/s/15wrIA 注意:以上两个都不要保存在C盘 第二步 打开Onekey ghost,选择还原分区,在GHO WIM ISO映像路径选择刚下载的Windows7.GHO。如下图

接下来, 在底下选择还原到C盘(点击C盘,然后那C盘一条会变蓝)。如下图,然后点击确定。 点击确定后,会弹出一个提示框(如下图),询问是否重启,点击是。接下来计算机会重启。 计算机重启后,会出现下图的情况,什么都不需要做,等进度条走完即可

进度条走完后,计算机会再次重启,Windows会自动安装,什么都不需要做,等计算机进入桌面后,重装系统就算完成了。 重装完成后需要做的 1.检查系统是否经过正版激活 方法:控制面板--系统,拉到最下面看看是否激活(如果显示状态不可用,需要等一会) 如果未激活,下载小马激活工具激活https://www.sodocs.net/doc/373500636.html,/s/1gdBUUGF 2.根据自己的使用习惯调整系统设置 3.将备份的数据导入回去 4.下载安全软件,个人推荐卡巴斯基,AVG,nod32,诺顿,小红伞,这些杀软都进入国内了,杀毒能力明显是比国产强的,这几个有的有免费版,收费的其实在淘宝几块钱就能买到一年的激活码,国产的诸如电脑管家之类的可以当做系统辅助类软件用,因为国外的杀软基本都不带清理垃圾,系统优化这之类的功能,可以两者结合使用。 5.根据自己使用习惯下载软件等等

Win7主题破解及安装和使用教程

Win7主题破解及安装和使用教程 第一步:第三方主题破解 对于非官方主题来说,必须打上第三方主题破解补丁之后才可以正常安装使用。 破解方法如下: 下载这个工具: 如果你是32位系统解压后运行UniversalThemePatcher-x86.exe(如果你的是64位系统运行UniversalThemePatcher-x64.exe) Windows7中需要破解3个文件:uxtheme.dll, shsvcs.dll, themeui.dll。破解完成后需要重新启动生效。 第二步:常用主题介绍 Win7主题主要有四种: 1、.themepack后缀主题(这种主题即为官方主题,使用比较简单,直接双击即可运行并启用) 2、.theme后缀主题(这种主题即为visual style主题,简称vs,由一个XXX.theme 文件和一个含有XXX.msstyles文件的XXX文件夹构成,甚至一些VS主题没有XXX.theme 文件,仅有一个含有XXX.msstyles文件的XXX文件夹) 3、.wba后缀主题(这种主题即为windows blind主题,简称wb,需安装windows blind 这个软件方能运行) 4、.exe后缀主题(这种主题其实就是压缩的,双击它即会自动运行帮你安装主题到Windows\Resources\Themes下,然后桌面右键点击“个性化”切换主题) 第三步:主题安装详解 由于第一种和第四种主题简单易用,不再多做介绍,这里详细介绍一下最流行的VS主题,并简单说明一下很强大的WB主题安装及使用方法: (1)VS主题 将下载到的主题文件夹打开,找到XXX.theme文件和XXX文件夹,他俩在同一目录下 将XXX.theme 文件和XXX文件夹同时复制到X:\Windows\Resources\Themes\ 目录下(X为系统所在的盘符通常都为C盘) 如图:

正版Windows7系统安装方法

随着Windows7的普及,想使用WIN7操作系统的人确实不在少数,但多部分人都不懂得如何安装,看别人使用,而自己却不能使用!去电脑城买个盗版的系统盘吧,总是被强制安装很多软件,有时还有毒,很不安全!那就只能用XP,总感觉心理挺难受的吧。 好了废话不多说了,现在我就教大家如何使用虚拟光驱安装官方正版的WIN7系统吧。(注意:这里教安装的是单系统的WIN7,想装双系统只须将WIN7系统安装在原系统以外的分区即可,这里不进行详细讲述。) 虽然微软并没有免费发布出WIN7旗舰版提供下载,但是只要你想要。一切还是有的,嘿嘿 Windows 7 x86版下载地址:https://www.sodocs.net/doc/373500636.html,/ghostxp/966.htm Windows 7 x64版下载地址:https://www.sodocs.net/doc/373500636.html,/ghostxp/965.htm x64 是指CPU是64位版本的。x86 是指CPU是32位版本的。如果你的CPU是64位的。可以安装64位的,也可以安装32位的,反过来只能安装32位的。又普及了一个知识,这时 候可以有掌声了 下面我们正式开始: 1.我们将下载好的WIN7镜像用虚拟光驱载入,如果电脑开启了自动播放功能就会弹出如下图所示对话框

如果没有这个对话框的用户不要着急,我们可以进到虚拟光驱将这个程序打开就可以实现相同的效果。

在上图中,我们看到的这些文件就是用虚拟光驱载入的WIN7镜像文件所产生的,此时我们只需要运行“setup.exe”程序就会出现如下图所示的对话框

我们点击“现在安装(I)”按扭开始安装。等会就会出现如下图窗口

Windows7系统下载安装(7600旗舰版)+激活方法图文教程

Windows7系统下载安装(7600旗舰版)+激活方法图文教程 旗舰, 教程 随着Windows7(以下简称WIN7)的出现,着实让使用Vista的用户可以喘口气了,传说中WIN7有着Vista的华丽效果,XP的速度,在配置要求方面也是普通机器就可以玩转的,而且在兼容方面已经可以跟XP比拟了,当然,最大的消息就是预装了Vista正版操作系统的用户可以免费升级至WIN7操作系统。虽然微软并没有正式发布出WIN7正式版提供下载,但是网上出现的众多所谓的7600RTM旗舰版被称为微软的泄密版,下载地址:https://www.sodocs.net/doc/373500636.html,/windows7xt/504.htm,经过1个星期的测试,确实可以实现正版激活,虽然测试阶段并不想微软公布那样好,但是已经接近90%了,相比Vista而言已经好了不知道多少倍了,而且对比XP那简单的画面以及安全方面也提高了不少,相信大家已经 很期待尝试WIN7了吧! 经过了长时间的观察,想使用WIN7操作系统的人确实不在少数,但多部分人都不懂得如何安装,看别人使用,而自己却不能使用,总感觉心理挺难受的吧。好了废话不多说了,现在我就教大家如何使用虚拟光驱安装WIN7系统吧。(注意:本教程只负责教授安装单系统的WIN7,想装双系统只须将WIN7系统安装在原系统以外的分区即可,这里不进行详细讲 述。) 虚拟光驱Daemon Tools 4.30下载地址:https://www.sodocs.net/doc/373500636.html,/ghostxp/834.htm 下面我们正式开始: 1.我们将下载好的WIN7镜像用虚拟光驱载入,如果电脑开启了自动播放功能就会弹出如下 图所示对话框

教你如何用U盘装win7系统(图解)

用UltraISO制作U盘启动盘及设BIOS从U盘启动的方法 下面为大家介绍一种超简单的U盘启动盘制作方法,大家一看都会,所需要的只是一个小软件(UltraISO),空间用UltraISO制作高兼容多合一启动U盘(GHOST+DOS工具+WinPE的启动盘)有提供下载。你平时从网上下载的可启动GHOST光盘映像文件,或者WINPE光盘也可以制作。 1、首先,下载好软件后,解压出来,直接运行,点击打开按钮,如图: 2、找到你准备好的GHOST光盘映像文件或者WINPE光盘,都可以

3、选择好后,点击打开,这样,光盘映像被加载到软件当中,选择启动光盘菜单中的写入硬盘映像。

4、这样,弹出写入硬盘映像信息,这里,值得注意的是,如果是硬盘驱动器的选择与写入方式,选择好硬盘驱动器后,选择写入方式,如果想要使制作出来的U盘启动盘兼容性好点的话,建议选择USB-ZIP模式。 然后点击写入。

5、这样,弹出格式化提示,我们当然要选择是了。 6、选择完毕后,就开始直接写入U盘了。

写入,制作完毕后,会显示刻录成功的提示,我们点返回就OK了,怎样,这个U盘启动盘就这样刻录好了,方便,简单吧! 剩下的工作就是进入BIOS,将U盘调整为第一磁盘,第一启动,然后利用U盘进行相关的工作了。 下面就是我们最关键的一步了,设置U盘第一启动,如果这步不会,那就等于前功尽弃了!首先,将你制作好的可启动U盘插到你的电脑上,然后,进入BIOS进行调整第一引导顺序了,因主板不同,BIOS多少有点区别,以往用光盘装系统,必须调整启动项为光驱启动,而现在我们要用U盘装系统,所以要调整为U盘启动。 下面我们举例两个不同bios的调整方法。 1、按DEL进入BIOS,进入boot选项卡,选择“hard disk drives"(硬盘驱动器)

装win7系统常用几种方法图文详解

目录 1#光盘法 2#硬盘法 3#虚拟光驱法 4#U盘法 --------------------------------------------------------------------------- 1#光盘法 一、准备工作 1、先准备好一张Windows 7旗舰版安装光盘,并检查是否支持自启动。 2、重新启动电脑,将光盘放进光驱并设置为光驱优先启动。 3、可能的情况下,在运行安装程序前用磁盘扫描程序扫描所有硬盘,检查硬盘错误并进行修复。否则安装程序运行时如检查到有硬盘错误即会很麻烦。 4、用纸张记录安装文件的产品密匙(安装序列号)。可跳过,如果中间需要要你填入WIN7密钥时,可跳过,使用网上破解程序进行破解。 5、可能的情况下,从网上下载最新的支持WIN7的主板、网卡、显卡等主要硬件驱动程序,进行备用。 6、如果你想在安装过程中格式化C盘或D盘(建议安装过程中格式化C盘),请备份C盘或D盘有用的数据。 二、用光盘启动系统 重新启动系统,并把光驱设为第一启动,保存设置并重启。 设置方法: 1.启动计算机,并按住DEL键(有的是按F2或者F10,具体请看电脑主板的有关说明)不放,直到出现BIOS设置窗口(通常为蓝色背景,黄色英文字)。 2.选择并进入第二项,“BIOS SETUP”(BIOS设置)或者“Advanced BIOS Features”。在里面找到包含BOOT文字的项或组,并找到依次排列的“FIRST” “SECEND”“THIRD”三项,分别代表“第一项启动”、“第二项启动”、“第三项启动”。这里我们按顺序依次设置为“光驱”“硬盘”...即可。(按↑↓键或者PAGEUP/PAGEDOWN键将光标定在“CDROM”项后点确认,只要把光驱设置成“FIRST”即可,其它的都是↓键将光标定在“硬盘”,这时按回车进入即可看),改好后进行保存。 3.选择好启动方式后,按F10键保存,出现E文对话框,按“Y”键(可省略),

怎么样封装WIN7系统教程

教你封装制作Windows7系统网上有很多的各种修改版的系统,如当年的xx花园,如x度,xx风等等,作为熟悉电脑的老手们是只使用纯净版系统的,毕竟,网上的修改版系统即使没有病毒木马,也给你塞了一堆你不喜欢的东西。可是,每次自己重装纯净版系统还是要安装很多自己常用的软件,还要去挨个做下系统配置来适应自己的习惯,自己家的电脑、公司的电脑都可能碰到这个问题,这个时候,我们就可以考虑动手DIY一个专属系统了,是的,这就是要封装。 很多人都认为制作封装系统是一件很复杂、很高深的事情。事实上,真正做过1次封装系统以后,就会发觉做封装系统并不困难。只要具有一定电脑基础(会装操作系统、安装软件,能够比较熟练地使用常用的应用软件),再加上一点点细心和耐心,制作一个属于自己的封装系统是一件轻而易举的事情。下面,我们一起来制作属于自己的Windows7封装系统吧。 一、封装前准备 1、Windows7官方发布的安装光盘(镜像)。 2、需要预装的各种应用软件,如Office/WPS、Photoshop、Win7优化大师等等。 3、UltraISO和Windows7 AIK。Windows7 AIK简体中文版的下载地址为: https://www.sodocs.net/doc/373500636.html,/download/6/3/1/631A7F90-E5CE-43AA-AB05-EA82AEAA402A /KB3AIK_CN.iso 4、WindowsPE光盘(最好是Windows7PE光盘)。Windows7PE光盘可以使用Windows7AIK 制作,也可以在以下地址下载: https://www.sodocs.net/doc/373500636.html,/zh-cn/files/709d244c-2e5a-11de-a413-0019d11a795f/ 二、安装操作系统和应用程序 1、安装Windows7操作系统。 安装操作系统有4个环节要注意: ①操作系统最好安装在C盘,安装期间(包括后面安装应用程序和进行封装)最好不要连接到网络。 ②如果在安装操作系统过程中输入序列号,进行封装以后再重新安装操作系统不会再提示输入序列号。除非要制作成OEM版的封装系统,否则在安装过程中提示输入序列号时,不要输入序列号,直接点―下一步‖继续系统的安装。 ③为保持封装系统纯净,安装好Windows7操作系统后最好不要安装硬件的驱动。当然,安装驱动程序也不会影响系统的封装。 ④为避免调整优化系统、安装应用软件过程中出现不必要的错误和产生错误报告文件,第一次进入系统后应当禁用UAC和关闭错误报告。禁用UAC和关闭错误报告的方法如下:——打开―控制面板‖,点击―系统和安全‖,选择―操作中心‖,点击―安全‖,在展开的详细设置内容中找到并点击―用户帐户控制‖下方的―选择您UAC级别‖,然后在弹出的对话框中将左边的滑杆调整为―从不通知‖,再点击―确定‖就可以禁用UAC了(需要重新启动系统才能生效)。

win7系统安装详细图文教程

系统安装方式目前有三种,分别是硬盘装系统、U盘装系统、光盘装系统。它们各有优 缺点小编就不在此累述。小编编写此篇教程的目的是为了教大家在系统没崩溃的情况下,通过硬盘安装GHOST系统的方式,实现快速装机目的。具体步骤如下: 硬盘装系统 一、系统下载完成之后,右键单击ISO镜像,弹出菜单选择解压文件; 二、解压完成,文件夹内容如下,双击打开autorun.exe文件:

三、弹出的“AUTORUN.EXE”运行界面中选择“安装GHOST系统到C盘”; 四、进入系统安装界面,如下图点选相应选项,点确认即可

五、点击取消“支持赞助商999.coom”全面复选框的勾选,然后选“是”即可; 选择“是“之后,再点选自动重启,装机过程的时间约持续5~10分钟; 选择完成,点击确定,然后选择自动重启,即可进入自动装机状态,时间约持续5~10分钟; 注意事项:1、如果自动装机完成后没有直接进入桌面,而出现了黑屏状态,毋须担心,不是系统问题,直接手动重启电脑,重启完成即可正常使用。 2、解压时请直接进行解压,不可系统存放路径不可存有中文字符,否则将无法正常安装。 3、请将解压出的gho文件放到除C盘外的分区,否则将无法正常安装;点击确定后会重新启动自动化安装,一般安装时间在5-10分钟! U盘装系统

U盘装系统是目前最常用的系统安装方式。特别适合于未安装光驱的台式机或超薄笔记本电脑上。小编为了给这类无光驱用户提供最大的便利,将在本文中为大家讲解最详细的U盘装系统教程。 您需要准备一个空的U盘(注意U盘中的重要数据请及时的备份出来,最好提前格式化U盘,U盘容量不小于4G) 第一步:1、下载大白菜U盘制作软件到你的电脑中; 2、下载GHOST系统到你的电脑中; 第二步:首先插入U盘,右键点击U盘,弹出菜单选择快速格式化(切记U盘中重要文件事先要备份出来,以防丢失),然后启动大白菜软件,界面如下图,点击界面最下方的“一键制作U盘启动”按钮,进入自动制作U盘启动盘;

WIN7旗舰版64位系统CAD安装方法

WIN7旗舰版64位系统CAD2012安装方法 安装说明: 1.以管理员的的身份启动安装Autodesk AutoCAD 2012 2.输入安装序列号:666-69696969, 667-98989898, 400-45454545 3.输入密匙:001D1 4.完成安装,重启CAD。 5.点击激活按钮之前 你有2个选择: a)禁用您的网络或拔掉网线;b)点击激活后它会告诉您,您的序列号是错误的,这时点击上一步等一会再点击激活即可。 选择了a或b后看下一步。 6.在激活界面中选择我拥有一个Autodesk激活码 7.一旦到了激活屏幕:启动注册机如果你是32位的请启用32位的注册机如果是64位的请启动64位的注册机。 8.先粘贴激活界面的申请号至注册机中的Request中, 9.点击Generate算出激活码,在注册机里点Mem Patch键否则无法激活提示注册码不正确。 10.最后复制Activation中的激活码至“输入激活码”栏中,并点击下一步。 你有一个完全注册autodesk产品 AutoCAD2012注册机绿色版(支持32位和64位简体中文) 2012-03-14 15:55 AutoCAD是由美国Autodesk欧特克官方于二十世纪八十年代初为微机上应用CAD技术(Computer Aided Design,计算机辅助设计)而开发的绘图程序软件。(第一次安装的时候记得完全安装,不要自定义安装。) AutoCAD经过不断的完善,现已经成为国际上广为流行的绘图工具。AutoCAD 2010具有良好的用户界面,通过交互菜单或命令行方式便可以进行各种操作。它的多文档设计环境,让非计算机专业人员也能很快地学会使用。在不断实践的过程中更好地掌握它的各种应用和开发技巧,从而不断提高工作效率。 CAD2010具有广泛的适应性,它可以在各种操作系统支持的微型计算机和工作站上运行,并支持分辨率由320×200到2048×1024的各种图形显示设备40多种,以及数字仪和鼠标器30多种,绘图仪和打印机数十种,这就为CAD2010的普及创造了条件。 本款CAD2010简体中文软件具有如下特点: (1)具有完善的图形绘制功能。 (2)有强大的图形编辑功能。 (3)可以采用多种方式进行二次开发或用户定制。 (4)可以进行多种图形格式的转换,具有较强的数据交换能力。 (5)支持多种硬件设备。 (6)支持多种操作平台 (7)具有通用性、易用性,适用于各类用户此外,从AutoCAD2000开始,该系统又增添了许多强大的功能,如AutoCAD设计中心(ADC)、多文档设计环境(MDE)、

快速U盘重装系统教程 win7 超详细 [图解]

快速U盘重装系统win7 [图解] 只需一个U盘,半小时搞定重装系统,台机、笔记本,32位、64位通吃,再也不用求人!!! ?硬件: U盘(移动硬盘、大容量内存卡也可以) ?软件: 1. 大白菜超级U盘启动盘制作工具V4.2 2. win7PE文件 3. win7操作系统ghost文件(分32位和64位两种) 准备: 1. 1 分别下载所需软件: 1. 大白菜超级U盘启动盘制作工具V4.2 2. WIN7PE.iso 3. WIN7SP1_X86_V2013.08.GHO (这个是32位的) 4. WIN7SP1_X64_V2013.09.GHO (这个是64位的) (注意:上面两个系统(第3与第4)只需用其中之一,主要看你的内存条的大小,小于3GB的用32位系统,大于3GB的就用64位的。) 第一步:制作启动盘 1. 1 1. 下载好大白菜超级U盘启动盘制作工具,并把它安装在电脑上,启动大白菜软件并 插入U盘(注意:在制作启动盘时需要格式化U盘,U盘有重要资料,需拷贝到别的地方)。 如下图所示:

2.点击“U盘启动项设置”按钮,会弹出如下窗口,在“菜单名称”里面输入“Win7 PE”,在 “ISO文件路径”点击输入框后面的“..”按钮,找到刚才所下载的“WIN7PE.ISO”位置。然后点击“>>添加”按钮,会在“启动项菜单”显示你刚才添加的信息。最后点“确定”按钮即可。

3.以上工作做完就可以开始制作我们的启动盘啦,点击主界面下面的“一键制作USB启 动盘”按钮,就可以制作啦,制作成功后软件会提示你制作成功 4. 为了确保启动盘制作成功,我们应该模拟启动一下,点击主界面下面的“模拟启动”按 钮,如果成功的话会弹出一个窗口,并且在菜单最下方有我们制作的新的启动项“Win7 PE”,这样我们的启动盘就完全制作成功了!

Windows Embedded Standard 7安装教程

WES7安装过程 1.Windows本体安装过程: 2.先用Bootcamp助理下载驱动并且分区,然后是标准的Windows安装。 3.选Build an Image开始安装 2选模板Thin Client

区域选择,也可以以后改 注意,在下面Modify Features打钩,手动添加部分组件包

推荐组件包1:简体中文字体,避免乱码 推荐组件包2:国际语言包支持,以后可以在控制面板添加语言包

推荐组件包3:Windows Defender。不装这个也可以,但是以后windows会提醒你打开windows defender。然后你点它,它就弱智的把system32目录打开。 推荐组件包4:windows search。搜索功能。

其他组件包可以自己选,选完之后点Resolve Dependencies,会自动选上依赖包 好了,下面是大家关心的序列号

(1)永久激活(必须在OOBE阶段):在第一次提示输入序列号的时候,使用XGY72-BRBBT-FF8MH-2GG8H-W7KCW (2)Dreamspark一年试用版,可以rearm 5次(即可用6年),登陆界面会显示Evaluation Copy,其他几乎一样: 在第一次提示输入序列号的时候,使用TJHCC-9DKQT-RB9PJ-YCQPV-3KDJG 其他安装,看个人需要 驱动安装 IE9安装 Windows Update 如果需要中文语言包,可以在64bit语言包页面,找Chinese(Simplified) 下载下来的,放到C盘根目录,在管理员权限的cmd窗口,运行下面命令并且重启: Dism /Online /Add-Package /PackagePath:C:\ 8、WES7 控制面板推荐设置: 9、(1)System -- System -- 虚拟内存,参考后面 10、-- Power Options -- 关闭休眠,建议用命令行:以管理员身份运行cmd,然后运行powercfg -h off 11、(2)Network -- IE Options: 主页/ 默认浏览器提醒 12、-- Advanced sharing settings -- Turn on network discovery 13、(3)Hardware -- Mouse: 鼠标加速度 14、-- NVIDIA: 垂直同步 15、(4)User Account -- Change Picture 更改用户头像 16、(5)Appearance -- Personalization -- Aero主题 17、-- Taskbar & SM -- Notification Area / Highlight New Programs 18、(6)Regional -- Keyboard: 只留下CH美国键盘,其他删掉 19、-- Administrative -- Copy Settings 让登陆界面和其他用户使用相同的设置 WES7 对于SSD的优化: 0) 如果你的主板是Intel芯片组,理论上可以骗过系统开启AHCI。pceva论坛有相关帖子,可自行参阅。 9、WES7 对于SSD的优化: 0) 如果你的主板是Intel芯片组,理论上可以骗过系统开启AHCI。pceva论坛有相关帖子,可自行参阅。 1) 禁用SuperPrefetch。默认已经禁用。可以检查HKEY_LOCAL_MACHINE\SYSTEM\CurrentControlSet\Control\Session Manager\Memory Management\PrefetchParameters,下面3个Enablexxx都应该是0。 2) 禁用DiskFragmenter服务。默认已经禁用。可以run -> -> 检查DiskFragmenter是否禁用。 3) Pagefile设置,也就是虚拟内存。默认是0MB,如果是8G内存的话,可以不改。听说,程序有可以取得的最大内存限制。只要不同时开很多大型程序,即使不要虚拟内存,也是绰绰有余的。 4) Hibernate设置,禁用休眠。ssd下面的休眠有问题,在从休眠恢复的时候有很大几率蓝屏。 5)(可选)有人建议关掉Windows Search服务。这个见仁见智;但是话说回来,如果要关,当初我们安装windows的时候,就不必选择Search组件包。个人觉得Windows Search还是很方便的。 6)(可选)设置系统环境变量。有人建议加载RAMDISK到内存,然后把TEMP文件夹等指向RAMDISK,以减少对SSD的读写。个人觉得没必要,一来是RAMDISK不是人人用的好,设置不好有可能引起奇怪故障(比如Office无法启动),二来RAMDISK多多少少占用了部分内存,三是SSD没必要拿来供着,适当保养就好,真要写坏了,还有保修和TimeMachine。 另外付其他版本注册码及延期注册方法 Windows Embedded Standard 7 Runtime (x86) - DVD (English)

最新UG2.0在win7系统中的安装方法(免费)

最新[图文教程]如何在WIN7下安装UG2.0(NX2.0) 教程写得比较啰嗦,希望各位看得懂 .说白了,就是用安装ug8.5在win7运行方式,用ug4.0.lic就行了,不用开机重启许可证了。下面有些步可不用. UG2.0在WIN7下安装,需要用到UG4.0的License Servers,先将UG4.0的license安装成功,再安装UG2.0主程序就好了。 注意:1.安装过程中需要通过防火墙的步骤全都选择“允许” 2.安装路径必须全为英文 3.此教程的安装目录皆默认为C:\program files 首先,修改下载的NX4.0的证书文件 证书文件在文件夹NX4.0→MAGNiTUDE→ugnx4.lic 双击打开→选择使用记事本打开“ugnx4.lic”↓(如下图)

打开后我们对其进行修改,我们要将下图红框标出部分替换为我们自己的计算机名称 WIN7下查看自己本机计算机名称:开始》右键点击计算机》属性即可看到本机名称(如图)↓

由图片可以看到,这台计算机名为freedom,那么我们把刚才红框标出的部分替换为freedom即可。(如图)↓ 注意:如果计算机名称为中文,则必须将其改为英文名称并重启才可安装 修改好后的证书文件我们可以随便放在一个我们方便找到的目录里即可,但必须路径中没有中文,如C:/ugnx4.lic 接下来我们开始正式安装

打开下载下来的NX4.0文件夹,找到launch.exe,双击运行

选择第二项:Install License Server 一路确定点下来,会提示你浏览你的证书文件,这时候我们浏览到刚才已经修改好的证书文件(ugnx.lic)即可

相关主题