搜档网
当前位置:搜档网 › 半导体存储器及发展趋势

半导体存储器及发展趋势

半导体存储器及发展趋势
半导体存储器及发展趋势

半导体存储器及发展趋势

□于纪波

(山西经济管理干部学院,山西太原030024)

【摘 要】半导体存储器的容量和速度决定着计算机系统运行速度。目前CPU 芯片18个月一更新,为

了赶上这个速度,半导体存储器的发展也日新月异。

【关键词】半导体存储器;大容量;高速;低功耗

【中图分类号】TH866.5 【文献标识码】A 【文章编号】1008-9101(2002)02-0048-02

目前半导体存储器性能的发展还远远落后于CPU 性能的发展速度,今后存储器技术和市场仍将继续发展和繁荣,特别是在市场需求的驱动下,为了满足各种系统所提出的不同要求,科学家还在寻找和开发新的存储原理,发展新型的存储器。另一方面半导体存储器在大容量、高速度、低功耗和方便使用等方面有了突飞猛进的发展。

一、技术现状

半导体存储器是计算机中最重要的部件之一,冯.诺依曼计算机程序存储原理就是利用存储器的记忆功能把程序存放起来,使计算机可以脱离人的干预自动地工作。它的存取时间和存取容量直接影响着计算机的性能。随着大规模集成电路和存储技术的长足发展,半导体存储器的集成度以每三年翻两番的速度在提高,相同容量的存储器在计算机中的体积和成本所占用的比例已越来越小。

从使用功能角度看,半导体存储器可以分为两大类:随机存储器RAM (Random Access Memory )只读存储器ROM (Read only Memory )。根据工作原理和条件不同,RAM 又分别称为静态读写存储器SRAM (Static RAM )和动态读写存储器DRAM (Dynamic RAM )。

目前市场上SRAM 主要用于高速缓存Cache ,这种存储器位于CPU 和DRAM 主存储器之间,规模较小,但速度很快。SDRAM 正在淡出历史舞台,DDR (Double Date Rage RAM )在P4已经开始全面采用。DDR 称为双数据率SDRAM ,其特点也是在单个时钟周期的上升沿和下降沿内都传送数据,所以,具有比普通单数据率SDRAM 多1倍的传输速度和内存带宽。对于大型应用程序和复杂的3D 应用很合适。ROM 主要有可电擦除可编程的EEPROM ,在E 2PROM 和EEPROM 芯片技术基础上发展起来的快擦写存储器Flash Memory 、利用铁电材料的极化方向来存储数据的铁电读写存储器FRAM 。

二、存储器发展趋势

微处理器的高速发展使存储器发展的速度远不能满足CPU 的发展要求,而且这种差距还在拉大。目前世界各大半导体厂商,一方面在致力于成熟存储器的大容量化、高速化、

低电压低功耗化,另一方面根据需要在原来成熟存储器的基

础上开发各种特殊存储器。

1、存储器集成度不断提高

由于受到PC 机和办公自动化设备普及要求的刺激,对DRAM 需求量日益激增,再加上系统软件和应用软件对内存有越来越大要求的趋势,特别是新一代操作系统以及很多与图形图象有关的软件包都对内存容量提出了更大的要求,促使各大半导体厂商不断投入数以亿计的巨资发展亚微米集成电路技术,提高存储器的集成度,不断推出大容量化存储器芯片。在半导体领域一直遵循有名的“摩尔(Moore )定律”———集成度以每18月提高一倍的速度在发展。集成电路集成度越高,所需要采用的工艺线宽就越小,当达到半导体线度尺寸小于电子波长时,就会产生量子效应。为此正在发展一种称为硅量子细线技术和硅量子点技术的新工艺技术,可望把半导体细线做到10nm ,这样就可以进一步提高半导体的集成度,做出更大容量的存储器芯片。

2、高速存储器的发展

随着微处理器速度的飞速发展,存储器的发展远不能跟上微处理器速度的提高,而且两者的差距愈来愈大,这已经制约了计算机性能的进一步提高。目前一般把访问时间小于35ns 的存储器称为高速存储器。随着时间的推移,高速存储器访问的时间将越来越小。至今SRAM 与DRAM 比较,速度仍然快不少。80年代末起,随着G aAs 和BICMOS 工艺技术的长足发展,世界各大半导体公司都在开发利用G aAs 和BICMOS 工艺技术来提高SRAM 的速度。

为了适应高速CPU 构成高性能系统的需要,高速DRAM 技术在不断发展。发展高速DRAM 的途径目前一般是把注意力集中在存储器芯片的片外附加逻辑电路上,试图在片外组织连续数据流来提高单位时间内数据流量即增加存储器的带宽。

3、存储器的低工作电压低功耗化

随着用电池供电的笔记本式计算机和各种便携式带微处理器的电子产品的问世,要求尽量减少产品的体积、重量和功耗,还要求产品耐用。减小系统体积和重量很重要的方

收稿日期:2002.4.3

作者简介:于纪波(1959-),男,山东牟平人,1982年毕业于东北大学,学士,现工作于山西经济管理干部学院,高级工程师。

8

4June ,2002Vol.10 No.2 山西经济管理干部学院学报JOURNAL OF SHANXI INSTITU TE OF ECONOMIC MANAGEMENT

2002年6月

第10卷第2期

面就是需要减少电池的数量,这又必然要求所用芯片的工作电压降低,耐用就需要降低芯片的功耗。由此就促使世界范围内半导体厂商研究和开发低压的半导体器件,包括低压的存储器。大多数低压存储器采取了3V —3.3V 工作电压,也有采用2.7V —1.8V 电源供电的。如东芝推出的低压EEP 2ROM,日立公司还推出了只有要1V 工作电压的4MB SRAM 。采用低电压集成电路技术后,芯片的功耗也大幅度降低,而且其工作速度并没有明显下降,这时电池的重量可以减轻40%,同时电池的寿命延长了3至4倍,系统发热量降低,整个系统的体积也不断减小。

4、新型动态存储器

根据某些特定的需要,有些公司已开发出一些新型的动

态存储器:例如,为了提高扫描显示和通信速度以及用于多处理机系统的双端口SRAM (Dual -prot SRAM ),为了解决图形显示的带宽瓶颈而设计的用于图形卡的视频读写存储器VRAM (Video RAM ),为了改善Windows 图形用户接口中图形性能WRAM (Windows RAM ),可用于多处理器系统高速通信的FIFO (First in First Out )存储器等。

参考文献:

窦振中.单片机外围器件实用手册.存储器分册[M ].北京:北京航空航天大学出版社,1999(11).

On the T rend of the Development of Semiconductor Memory

Y U Ji -bo

Abstract :The capacity and speed of semiconductor memory determines the operating speed of computer sys 2tem.At present ,because the CPU is renewed in every 18months ,the semiconductor mernory develops rapidly to catch the speed.

K ey w ords :Semiconductor memory ;Large Copacity ;High speed ;Low Power Dissipation

(上接第47页)使企业成为适应市场经济需要的法人实体和

竞争主体,通过建立民主科学的决策机制、有序的运行机制、严格规范的监督机制及市场竞争的优胜劣汰机制,促进企业与国际经济的融合。

(三)主动开拓市场,扩大产品出口。

按照加快国民经济市场化进程。充分发挥市场机制作用的要求,进一步完善营销策略;要以市场为导向,调整产品结构,提高产品质量,创立名牌产品;要充分开拓市场,强化技术服务,建立市场网络;要研究物流管理、发展终端销售,在开拓国内市场的同时,积极开拓国际市场,扩大企业产品出口。

(四)掌握和运用WTO 有关规则和条款,保护企业的合法权益

认真研究世贸组织规则以及有利于发展中国家的例外条款,运用这些条款保护我省化工企业在国际竞争中的安全;运用保护知识产权的规定,提高企业知识产品的保护力度,加快技术和知识产权的国际交换,促进企业对新技术和产品开发及企业的科技进步;学会利用WTO 的争端解决机

制及反倾销等有关条款,保护企业的合法权益。

(五)加强人力资源开发和管理,形成科学合理的企业人才梯队

竞争,归根到底是人才的竞争。企业要想获得长远的,稳定的发展,战略性人才储备是一个极其重要的环节。我省化工企业在应对WTO 的挑战中,一要努力培养和使用现有人才,完善企业科学的培训制度,建立学习型组织,造就企业后备力量,实现操作岗位和管理岗位的专业化;二要建立企业人力资源战略,营造良好的企业文化,科学的薪酬制度,吸引专业人才流入,确保企业可持续健康发展。

总之,加入WTO 是机遇更是挑战,只要我们结合我省化学工业的实际情况,深入研究,统筹规划,采取措施,趋利避害,采取积极有效的应对策略,就一定能保持我省化学工业的持续快速健康发展。

参考文献:

贾继锋.加入WTO 以后的新问题[M ].上海:上海社会科学院出版社,2000.

WTO and the Chemical Industry of Shanxi Province

ZHI H eng -qin

Abstract :While China ’s entry into WTO may bring latent opporturitive ,there are also realistic challenges China will have to face.The paper states on the current situation of the chemical industry of Shanxi Province and the im pact of China ’s entry into WTO on it ,and points out the countermeasures to take.

K ey w ords :WTO ;Chemical Industry ;Countermeasure

9

4June ,2002Vol.10 No.2 于纪波:半导体存储器及发展趋势

2002年6月

第10卷第2期

静态存储器-实验报告

计算机科学与技术系 实验报告 专业名称计算机科学与技术 课程名称计算机组成与结构 项目名称静态随机存储器实验 班级 学号 姓名 同组人员无 实验日期 2015-10-24

一、实验目的与要求 掌握静态随机存储器RAM 工作特性及数据的读写方法 二、实验逻辑原理图与分析 2.1 实验逻辑原理图及分析 实验所用的静态存储器由一片6116(2K ×8bit)构成(位于MEM 单元),如下 图所示。6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),当片选有效(CS=0)时,OE=0时进行读操作,WE=0时进行写操作,本实验将CS 常接地线。 由于存储器(MEM)最终是要挂接到CPU 上,所以其还需要一个读写控制逻辑,使得CPU 能控制MEM 的读写,实验中的读写控制逻辑如下图所示,由于T3的参与,可以保证MEM 的写脉宽与T3一致,T3由时序单元的TS3给出。IOM 用来选择是对I/O 还是对MEM 进行读写操作,RD=1时为读,WR=1时为写。 XMRD XIOR XIOW XMWR RD IOM WE T3 读写控制逻辑 实验原理图如下如所示,存储器数据线接至数据总线,数据总线上接有8 个LED 灯显示D7…D0的内容。地址线接至地址总线,地址总线上接有8个LED 灯显示A7…A0的内容,地址由地址锁存器(74LS273,位于PC&AR 单元)给出。数据开关(位于IN 单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。

半导体存储器原理实验报告

_管理_学院__信息管理与信息系统_专业_2_班______组、学号3109005713___姓名_吴兴平_ ___协作者_林敬然__________ 教师评定_____________ 半导体存储器原理实验 1.实验目的与要求: 实验目的:(1)掌握静态存储器的工作特性及使用方法。(2)掌握半导体随机存储器如何存储和读取数据。 实验要求:按练习一和练习二的要求完成相应的操作,并填写表2.1各控制端的状态及记录表2.2的写入和读出操作过程。 2. 实验方案: (1)使用了一片6116静态RAM(2048×8位),但地址端A8-A10脚接地,因此实际上存储容量为256字节。存储器的数据线D7-D0接至数据总线。 (2)使用一片8位的74LS273作为地址寄存器(AR),地址寄存器的输出端接存储器6116的地址线A7-A0,所以存储单元的地址由地址存储器AR提供。 (3)数据开关(INPUT DEVICE)用来设置地址和数据,它经过一个三态门74LS245与数据总线相连,分别给出地址和数据。 (4)地址显示灯A D7-AD0与6116地址线相连,用来显示存储单元的地址,数据总线上的显示灯B7-B0用来显示写入存储单元的数据或从存储单元读出的数据。 (5)存储器有三个控制信号:CE片选信号、OE读命令信号、WE写信号。当片选信号CE=0时,RAM被选中,可以进行读/写操作;当CE=1时,RAM未被选中,不能进行读/写操作。读命令信号OE在本实验中已固定接地,在此情况下,当CE=0,WE=1时,存储器进行写操作,当CE=0,WE=0时,存储器进行读操作。

(6)LDAR是地址存储器AR存数控制信号。 (7)按图连接好实验电路,检查无误后通电。 (8)将表2.2的地址和内容转化为二进制。 (9)参考以上操作,向存储器单元里先写第一个单元的地址、然后向第一个地址,再写第二个地址,然后向第二个地址单元写内容,就这样不断循环操作,直到做完。 3. 实验结果和数据处理: (1)填写表2.1各控制端的状态。如下图所示: 表2.1 (2)记录表2.2的写入和读出操作过程。 向存储器的00H,01H,02H,03H,04H,05H,06H地址单元分别写入数据AAH,55H,33H,44H,66H,08H,F0H(十六进制),如表所示:

微控制器实验报告

微控制器技术实验报告 班级: 姓名: 学号:

微控制器技术实验说明 一、实验目的及要求: 1、学习Keil C51集成开发工具的操作及调试程序的方法,包括:仿真调试 与脱机运行间的切换方法; 2、熟悉TD-51单片机系统板及实验系统的结构及使用; 3、进行MCS51单片机指令系统软件编程设计与硬件接口功能设计; 4、学习并掌握Keil C51与Proteus仿真软件联机进行单片机接口电路的设 计与编程调试; 5、完成指定MCS51单片机综合设计题 二、实验基本内容(TD-51单片机实验系统实现) 实验一清零程序与拆字程序设计 根据实验指导书之“第二章单片机原理实验”(P17~P23页)内容,熟悉实验环境及方法,完成思考题1、2(P23)基础实验项目。 实验二拼字程序与数据传送程序设计 汇编语言完成实验指导书P24思考题3、4题的基础实验项目。 实验三排序程序与散转程序设计 汇编语言完成实验指导书P24思考题5、6题的基础实验项目。 实验四静态存储器扩展实验 基本部分:阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“4.1 静态存储器扩展实验”基本实验项目(P57)。 提高部分:阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“4.2 FLASH存储器扩展实验”实验项目(P60)。 实验五数字量输入输出实验 基本部分:阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“3.1 数字量输入输出实验”基本实验项目(P36),。 提高部分:(任选一题) 题目一:LED交通灯控制(使用8255接口芯片) 要求:使用汇编语言编程,功能为:通过开关实现LED灯工作方式即时控 制,完成LED交通灯的开关控制显示功能和LED交通灯自动循环显示功能。 题目二:LED灯控制(使用8255接口芯片) 要求:使用汇编语言编程,功能为:通过KK1实现LED灯工作方式即时控 制,完成LED开关控制显示和LED灯左循环、右循环、间隔闪烁功能。 题目三:键盘扫描与数码管显示设计( 要求:阅读、验证P69上的C 语言参考程序功能。使用汇编语言完成编程与功能调试。

√半导体存储器——分类、结构和性能

半导体存储器(解说) ——分类、结构和性能—— 作者:Xie M. X. (UESTC ,成都市) 计算机等许多系统中都离不开存储器。存储器就是能够存储数据、并且根据地址码还可以读出其中数据的一种器件。存储器有两大类:磁存储器和半导体存储器。 (1)半导体存储器的分类和基本结构: 半导体存储器是一种大规模集成电路,它的分类如图1所示。半导体存储器根据其在切断电源以后能否保存数据的特性,可区分为不挥发性存储器和易挥发性存储器两大类。磁存储器也都是不挥发性存储器。 半导体存储器也可根据其存储数据的方式不同,区分为随机存取存储器(RAM )和只读存储器(ROM )两大类。RAM 可以对任意一个存储单元、以任意的次序来存/取(即读出/写入)数据,并且存/取的时间都相等。ROM 则是在制造时即已经存储好了数据,一般不具备写入功能,只能读出数据(现在已经发展出了多种既可读出、又可写入的ROM )。 半导体存储器还可以根据其所采用工艺技术的不同,区分为MOS 存储器和双极型存储器两种。采用MOS 工艺制造的称为MOS 存储器;MOS 存储器具有密度高、功耗低、输入阻抗高和价格便宜等优点,用得最多。采用双极型工艺制造的,称为双极型存储器;双极型存储器的优点就是工作速度高。 半导体存储器的基本结构就是存储器阵列及其它电路。存储器阵列(memory array )是半导体存储器的主体,用以存储数据;其他就是输入端的地址码缓存器、行译码器、读出放大器、列译码器和输出缓冲器等组成。 各个存储单元处在字线(WL ,word line )与位线(BL ,bit line )的交点上。如果存储器有N 个地址码输入端,则该存储器就具有2N 比特的存储容量;若存储器阵列有2n 根字线,那么相应的就有2N n 条位线(相互交叉排列)。 在存储器读出其中的数据时,首先需通过地址码缓存器把地址码信号送入到行译码器、并进入到字线,再由行译码器选出一个WL ,然后把一个位线上得到的数据(微小信号)通过读出放大器进行放大,并由列译码器选出其中一个读出放大器,把放大了的信号通过多路输出缓冲器而输出。 在写入数据时,首先需要把数据送给由列译码器选出的位线,然后再存入到位线与字线相交的存储单元中。当然,对于不必写入数据的ROM (只读存储器)而言,就不需要写入电路。 图1 半导体存储器的分类

计算机组成原理上机实验报告

《计算机组成原理实验》课程实验报告 实验题目组成原理上机实验 班级1237-小 姓名 学号 时间2014年5月 成绩

实验一基本运算器实验 1.实验目的 (1)了解运算器的组成原理 (2)掌握运算器的工作原理 2.实验内容 输入数据,根据运算器逻辑功能表1-1进行逻辑、移位、算术运算,将运算结果填入表1-2。 表 1-1运算器逻辑功能表 运算类 A B S3 S2 S1 S0 CN 结果 逻辑运算65 A7 0 0 0 0 X F=( 65 ) FC=( ) FZ=( ) 65 A7 0 0 0 1 X F=( A7 ) FC=( ) FZ=( ) 0 0 1 0 X F=( ) FC=( ) FZ=( ) 0 0 1 1 X F=( ) FC=( ) FZ=( ) 0 1 0 0 X F=( ) FC=( ) FZ=( ) 移位运算0 1 0 1 X F=( ) FC=( ) FZ=( ) 0 1 1 0 0 F=( ) FC=( ) FZ=( ) 1 F=( ) FC=( ) FZ=( ) 0 1 1 1 0 F=( ) FC=( ) FZ=( ) 1 F=( ) FC=( ) FZ=( ) 算术运算 1 0 0 0 X F=( ) FC=( ) FZ=( ) 1 0 0 1 X F=( ) FC=( ) FZ=( ) 1 0 1 0X F=( ) FC=( ) FZ=( ) 1 0 1 0X F=( ) FC=( ) FZ=( ) 1 0 1 1 X F=( ) FC=( ) FZ=( ) 1 1 0 0 X F=( ) FC=( ) FZ=( ) 1 1 0 1 X F=( ) FC=( ) FZ=( ) 表1-2运算结果表

实验四 静态随机存储器实验

实验四静态随机存储器实验 一.实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 二.实验设备 TDN—CM++计算机组成原理教学实验系统一台,排线若干。 三.实验内容 1.实验原理 实验所用的半导体静态存储器电路原理如图所示,实验中的静态存储器一片6116 (2K﹡8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。 地址灯AD0—AD7与地址线相连,显示地址线内容。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。 因地址寄存器为8位,接入6116的地址A7—A0,而高三位A8—A10接地,所以 其实际容量为256字节。6116有三个控制线:CE(片选线)OE(读线)WE(写 线)。当片选有效(CE=0)时,OE=0时进行读操作,WE=0时进行写操作。本实 验中将OE常接地,在此情况下,当CE=0 WE=0时进行读操作,其写时间与T3 脉冲宽度一致。 实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可调,其它电平控制信号由“SWITCH UNIT”单元的二进制开关模拟,其中SW—B为 低电平有效,LDAR为高电平有效。 2.实验步骤 (1)在时序电路模块中有两个二进制开关“STOP”和“STEP”,将“STOP” 开关置为“RUN”状态,将“STEP”开关置为“STEP”状态。 (2)按“图4 存储器实验连线图”连接实验线路,仔细查向无误后接通电源。 由于存储器模块内部的连线已经接好,因此只需完成电路的形成、控制信 号模拟开关、时钟脉冲信号T3与存储模块的外部连接。 (3)给存储器的00 01 02 03 04地址单元中分别写入数据11 12 13 14 15,具体操作步骤如下:(以向00号单元写入11为例)

计算机组成原理实验报告(运算器组成、存储器)

计算机组成原理实验报告 一、实验1 Quartus Ⅱ的使用 一.实验目的 掌握Quartus Ⅱ的基本使用方法。 了解74138(3:8)译码器、74244、74273的功能。 利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 二.实验任务 熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三种期间的功能。 三.74138、74244、74273的原理图与仿真图 1.74138的原理图与仿真图 74244的原理图与仿真图

1. 4.74273的原理图与仿真图、

实验2 运算器组成实验 一、实验目的 1.掌握算术逻辑运算单元(ALU)的工作原理。 2.熟悉简单运算器的数据传送通路。 3.验证4位运算器(74181)的组合功能。 4.按给定数据,完成几种指定的算术和逻辑运算。 二、实验电路 附录中的图示出了本实验所用的运算器数据通路图。8位字长的ALU由2片74181构成。2片74273构成两个操作数寄存器DR1和DR2,用来保存参与运算的数据。DR1接ALU的A数据输入端口,DR2接ALU的B数据输入端口,ALU的数据输出通过三态门74244发送到数据总线BUS7-BUS0上。参与运算的数据可通过一个三态门74244输入到数据总线上,并可送到DR1或DR2暂存。 图中尾巴上带粗短线标记的信号都是控制信号。除了T4是脉冲信号外,其他均为电位信号。nC0,nALU-BUS,nSW-BUS均为低电平有效。 三、实验任务 按所示实验电路,输入原理图,建立.bdf文件。 四.实验原理图及仿真图 给DR1存入01010101,给DR2存入10101010,然后利用ALU的直通功能,检查DR1、

静态随机存储器实验

静态随机存储器实验 一、实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 二、实验设备 (1)TDN-CM+或者TDN-CM++教学试验系统一套和导线若干。 (2)PC机(或示波器)一台。 三、实验原理 实验所用的半导体静态存储器电路原理如图1所示。(见最后一页) 实验中的静态存储器由一片6116(2K×8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。(地址灯为AD0--AD7显示地址线内容。)数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。 地址寄存器为8位,接入6116的地址A7—A0,其高三位A8—A10接地,那么实际容量为256字节。 6116有三个控制线:CE(片选线),OE(读线),WE(写线)。当CE=0和OE=0时进行读操作,WE=0时进行写操作。 本实验中将OE常接地,在此情况下,当CE=0,WE=0时进行读操作,CE=0,WE=1时进行写操作,其写时间与T3脉冲宽度一致。 实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可调,其它电平控制信号由SWITCH UNIT单元的二进制开关模拟,其中SW-B为低电平有效,LDAR为高电平有效。 四、实验步骤 (1)具体接线方法如图2所示。(见最后一页)按图连接实验线路,仔细查线无误后,接通电源。 (2)形成时钟脉冲信号T3。 1、接通电源,用示波器接入方波信号源的输出插孔H23,调节电位器W1及W2, 使H23端输出实验所期望的频率的方波。 2、将时序电路模块中的φ和H23排针相连。 3、在时序电路模块中有两个二进制开关STOP和STEP。将STOP开关置为 "RUN"状态,STEP开关置为"EXEC"状态时,按动微动开关START,则T3输出。 为连续的方波信号.此时,调节电位器W1,用示波器观察,使T3输出实验要求的脉冲信号。同时可测得T3的频率和占空比(我用的是f=85.03HZ 占空比为0.24)。 然后使STOP开关为"RUN"状态,STEP开关为"STEP"状态时,每按动一次微动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。 (3)写存储器。给存储器的00,01,02,03,04地址单元分别写入数据11H,12H,13H,14H,15H。具体如下 1、写地址。关闭存储器的片选(CE=1),打开地址锁存器门控信号(LDAR=1),打开数据开关三态门(SW-B=0),由数据开关给出所要写入的存储单元的地址,按动START产生T3脉冲将地址打入地址锁存器。

静态存储器扩展实验报告

静态存储器扩展实验报告告圳大学实验报深

微机原理与接口技术 课程名称: 静态存储器扩展实验实验项目名称: 信息工程学院学院: 专业:电子信息工程

指导教师:周建华 32012130334 学号:班级:电子洪燕报告人:班 2014/5/21 实验时间: 实验报告提交时间:2014/5/26 教务部制. 一.实验目的与要求: 1. 了解存储器扩展的方法和存储器的读/写。 2. 掌握CPU对16位存储器的访问方法。

二.实验设备 PC机一台,TD-PITE实验装置或TD-PITC实验装置一套,示波器一台。 三.实验原理VCC28A141WE27A122A1326A73A8254A6存储器是用来存储信息的A924A55A1123A46OE22A3762256A10218A2CS209A1部件,是计算机的重要组成部D719A010D618D011D517D112D416D213D315GND14管组成的是由MOS分,静态RAM触发器电路,每个触发器可以存放1位

信息。只要不掉电,所储存的信息就不会丢失。因此,静态RAM工作稳定,不要外加刷新电路,使用方便。 但一般SRAM 的每一个触发器是由6个晶体管组成,SRAM 芯片的集成度不会太高,目前较常用的有6116(2K×8位),图4.1 62256引脚图6268位)622532位。本验平台上选. 用的是62256,两片组成32K×16位的形式,共64K字节。 62256的外部引脚图如图4.1所示。 本系统采用准32位CPU,具有16位外部

数据总线,即D0、D1、…、D15,地址总线为BHE#(#表示该信号低电平有效)、BLE #、A1、A2、…、A20。存储器分为奇体和偶体,分别由字节允许线BHE#和BLE#选通。 存储器中,从偶地址开始存放的字称为规则字,从奇地址开始存放的字称为非规则字。处理器访问规则字只需要一个时钟周期,BHE#和BLE#同时有效,从而同时选通存储器奇体和偶体。处理器访问非规则字却需要

《计算机组成原理》实验二报告

《计算机组成原理》 实验报告 学院:计算机学院 专业:软件工程 班级学号:130803 313002384 学生姓名:胡健华 实验日期:2014-11-13 指导老师:李鹤喜 五邑大学计算机学院计算机组成原理实验室

实验二 一、实验名称:SRAM 静态随机存储器实验 二、实验目的: 掌握静态随机存储器RAM工作特性及数据的读写方法。 三、实验内容: 1、向存储器中指定的地址单元输入数据,地址先输入AR寄存器,在地址灯上显示;再将数据 送入总线后,存到指定的存储单元,数据在数据显示灯显示。 2、从存储器中指定的地址单元读出数据, 地址先输入AR寄存器,在地址灯显示; 读出的数据送入 总线, 通过数据显示灯显示。 四、实验设备: PC机一台,TD-CMA实验系统一套。 五、实验步骤: 1、关闭实验系统电源,按图2-4 连接实验电路,并检查无误,图中将用户需要连接的信号用 圆圈标明。 2、将时序与操作台单元的开关KK1、KK3 置为运行档、开关KK2 置为‘单步’档。 3、将CON 单元的IOR 开关置为1(使IN 单元无输出),打开电源开关,如果听到有‘嘀’报 警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。 图2-4

4、给存储器的00H、01H、02H、03H、04H 地址单元中分别写入数据11H、12H、13H、14H、15H。 由前面的存储器实验原理图(图2-1-3)可以看出,由于数据和地址由同一个数据开关给出,因此数据和地址要分时写入,先写地址,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0),数据开关输出地址(IOR=0),然后打开地址寄存器门控信号(LDAR=1),按动ST 产生T3 脉冲,即将地址打入到AR 中。再写数据,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0)和地址寄存器门控信号(LDAR=0),数据开关输出要写入的数据,打开输入三态门(IOR=0),然后使存储器处于写状态(WR=1,RD=0,IOM=0),按动ST 产生T3脉冲,即将数据打入到存储器中。写存储器的流程如图2-5 所示(以向00 地址单元写入11H为例): 图2-5 5、依次读出第00、01、02、03、04 号单元中的内容,观察上述各单元中的内容是否与前面写 入的一致。同写操作类似,也要先给出地址,然后进行读,地址的给出和前面一样,而在进行读操作时,应先关闭IN 单元的输出(IOR=1),然后使存储器处于读状态(WR=0,RD=1,IOM=0),此时数据总线上的数即为从存储器当前地址中读出的数据内容。读存储器的流程如图2-6 所示(以从00 地址单元读出11H 为例): 图2-6 如果实验箱和 PC 联机操作,则可通过软件中的数据通路图来观测实验结果(软件使用说明请看附录1),方法是:打开软件,选择联机软件的“【实验】—【存储器实验】”,打开存储器实验的数据通路图,如图2-7 所示。 进行上面的手动操作,每按动一次ST 按钮,数据通路图会有数据的流动,反映当前存储器所做的操作(即使是对存储器进行读,也应按动一次ST 按钮,数据通路图才会有数据流动),或在软件中选择“【调试】—【单周期】”,其作用相当于将时序单元的状态开关置为‘单步’档

实验二-静态随机存储器-(罗忠霖)

集美大学计算机工程学院实验报告 课程名称:计算机组成原理指导教师:汪志华实验成绩:实验项目编号: 3.6实验项目名称:静态随机存储器实验 班级:计算1013姓名:何荣贤 罗忠霖学号:2010810071 2010810072 上机实践日期:2012/11/15上机实践时间:2学时 一、实验目的 掌握静态随机存储器RAM工作特性及数据的读/写方法。 二、实验设备 (1)TDN-CM+或TDN-CM++教学实验系统一套; (2)PC机(或示波器)一台; 三、实验原理 ⑴、在此实验中,所用的半导体静态存储器又一片6116(2K×8)构成,其数据线接至 数据总线,地址线又地址锁存器(74LS273)给出。地址灯AD7-AD0与地址线连, 显示地址线内容。数据开关经三态门(74LS245)连至数据总线,分时给出地址和 数据。 ⑵、6116有三个控制线:CE(片选线)、OE(读线)、WE(写线)。当片选有效 (CE=0)时,OE=0时进行读操作,WE=0时进行写操作,在本实验中,将OE 常接地,因此6116的引脚信号WE = 1时进行度操作,WE = 0时进行写操作。 ⑶、要对存储器进行读(写)操作,必须设置控制端CE=0,WE=0(WE=1),同时有T3 脉冲到来。 ⑷、实验时,将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可 调(在本实验中影响不大),其它电平控制信号由“SWITCH UNIT”单元的二进制 开关模拟,其中SW-B(三态门)为低电平有效,LDAR为高电平有效。 四、实验步骤 (1)将时序电路模块中的Φ和H23排针相连。将时序电路模块中的二进制开关 “STOP”设置为“RUN”状态、将“STEP”设置为"STEP"状态。注意:关于stop 和step的说明: 将“STOP”开关置为“Run"状态、“STEP”开关置为“EXEC” 状态时,按动微动开关START,则T3输出为连续的方波信号,此时调节电位器 W1,用示波器观察,使T3输出实验要求的脉冲信号。当“STOP”开关置为 “RUN”状态、“STEP”开关置为"STEP"状态时,每按动一次微动开关START, 则T3输出一个单脉冲,其脉冲宽度与连续方式相同。 (2)按图2连接实验线路,仔细查线无误后接通电源。

实验十四 存储器扩展机读写实验

实验十四存储器扩展机读写实验 一、实验目的 (1)通过阅读并测试示例程序,完成程序设计题,熟悉静态RAM的扩展方法。 (2)了解8086/8088与存储器的连接,掌握扩展存储器的读写方法。 二、实验内容 1.实验原理(62256RAM介绍) 62256是32*8的静态存储器,管脚如图所示。其中:A0~A14为地址线,DB0~DB7为数据线,/cs为存储器的片选,/OE为存储器数据输出选通信号,/WE为数据写入存储器信号。62256工作方式如下图。 /CS /WE /OE 方式DB-~DB7 H X X 未选中高阻 L H H 读写禁止高阻 L L H 写IN L H L 读OUT 2.实验内容 设计扩展存储电器的硬件连接图并编制程序,讲字符A~Z循环存入62256扩展RAM 中,让后再检查扩展存储器中的内容。 三、程序设计 编写升序,将4KB扩展存储器交替写入55H和0AAH。 程序如下: RAMADDR EQU 0000H RAMOFF EQU 9000H COUNT EQU 800H CODE SEGMENT ASSUME CS:CODE START: PROC NEAR MOV AX,RAMADDR MOV DS,AX MOV BX,RAMOFF MOV CX,COUNT MOV DL,55h MOV AX ,0AAH REP: MOV [BX],DL INC BX MOV [BX],AX INC BX LOOP REP JMP $ CODE ENDS END START 四、实验结果 通过在软件上调试,运行时能够看到内存地址的改变,证明此扩展的程序成功实现了。 五、实验心得

静态随机存储器实验实验报告

**大学 实验(实训)报告 实验名称运算器、存储器 所属课程计算机组成与结构 所在系计算机科学与技术 班级 学号 姓名 指导老师 实验日期 实验静态随机存储器实验 2、1、实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 2、2、实验内容 给存储器的00H、01H、02H、03H、04H 地址单元中分别写入数据 11H、12H、13H、14H、15H,再依次读出数据。 2、3、实验设备 TDN-CM++计算机组成原理教学实验系统一台,排线若干。 2、4、实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM单元),如图2-1所示。6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如下图,当片选有效(CS=0)时,OE=0时进行读操作,WE=0时进行写操作,本实验将CS常接地。

的读写,一致,T3 时为读,WR=1 实验原理如图2-3所示,存储器数据线接至数据总线,数据总线上接有8个LED灯显示D7…D0 的内容。地址线接至地址总线,地址总线上接有8个LED灯显示A7…A0的内容,地址由地址锁存器给出。数据开关经一个三态门连至数据总线,分时给出地址与数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。

MR MW D7 —————D0D7 —————D0 A7 —————A0 OE CS T3 IOM RD WE 读写译码 RD WR 74LS27374LS245IN 单元 AD7 ||| AD0 LDAR IOR IN_B A10 —A8————— ————— ——————————----—————6116 实验箱中所有单元的时序都连接至时序与操作台单元,CLR 都连接至CON 单元的CLR 按钮。实验时T3由时序单元给出,其余信号由CON 单元的二进制开关模拟给出,其中IOM 应为低(即MEM 操作),RD 、WR 高有效,MR 与MW 低有效,LDAR 高有效。 2、5.实验步骤

半导体存储器原理实验报告

计算机组成原理课程设计实验报告 学院:计算机科学与工程学院 专业:计算机科学与技术 班级:计科一班 学号: 姓名: 评分:

2013年05月17日 半导体存储器原理实验报告 1.实验目的 2.(1)掌握静态存储器的工作特性及其使用方法; (2)掌握半导体随机存储器如何存储和读取数据。 3.实验原理 4.实验内容 如图,在protues里进行电路连接。 (1)使用了一片6116静态RAM(2048×8位),但地址端A8-A10脚接地,因此实际上存储容量为256字节。存储器的数据线D7-D0接至数据总线。

(2)使用一片8位的74LS273作为地址寄存器(AR),地址寄存器的输出端接存储器6116的地址线A7-A0,所以存储单元的地址由地址存储器AR提供。(3)数据开关(INPUT DEVICE)用来设置地址和数据,它经过一个三态门74LS244与数据总线相连,分别给出地址和数据。 4)用74LS273作为锁存器,连接总线与存储器。 (5)存储器有三个控制信号:CE片选信号、OE读命令信号、WE写信号。当片选信号CE=0时,RAM被选中,可以进行读/写操作;当CE=1时,RAM未被选中,不能进行读/写操作。读命令信号OE在本实验中已固定接地,在此情况下,当CE=0,WE=1时,存储器进行写操作,当CE=0,WE=0时,存储器进行读操作。5.数据记录:

DSW SW7-SW074LS373 (OE)# 74LS273 CLK 611674LS244 Q7-Q0 6116 D7-D0 备注 (CE)#(OE)#(WE)# 000000000111100000000——地址00H 写入AR 0000000101001——00000001数据01H 写入RAM 000100000111100010000——地址10H 写入AR 0000001001001——00000010数据02H 写入RAM 00000000000000000000000000000地址00H 读出数据00010000000000001000000010000地址10H 读出数据 总结及心得体会 在学总线那一章节时,对于老师提到的各种74LSXXX名词,总是云里 雾里的,经过实验,现在对总线这一章节的内容有了更为清晰的认识,也 了解到了各种74LSXXX的功能以及在模拟电路中起到的作用。 11级计算机科学与技术一班: 2013年05月17日

计算机组成原理与系统结构实验教程

实验一算术逻辑运算实验 一.实验目的 1.了解运算器的组成结构。 2.掌握运算器的工作原理。 3.学习运算器的设计方法。 4.掌握简单运算器的数据传送通路。 5.验证运算功能发生器74LS181 的组合功能。 二.实验设备 TDN-CM+或TDN-CM++教学实验系统一套。 三.实验原理 实验中所用的运算器数据通路图如图2.6-1。图中所示的是由两片74LS181 芯片以并/串形式构成的8 位字长的运算器。右方为低4 位运算芯片,左方为高4 位运算芯片。低位芯片的进位输出端Cn+4 与高位芯片的进位输入端Cn 相连,使低4 位运算产生的进位送进高4 位运算中。低位芯片的进位输入端Cn 可与外来进位相连,高位芯片的进位输出引至外部。两个芯片的控制端S0~S3 和M 各自相连,其控制电平按表2.6-1。 为进行双操作数运算,运算器的两个数据输入端分别由两个数据暂存器DR1、DR2(用锁存器74LS273 实现)来锁存数据。要将内总线上的数据锁存到DR1 或DR2 中,则锁存器74LS273 的控制端LDDR1 或LDDR2 须为高电平。当T4 脉冲来到的时候,总线上的数据就 被锁存进DR1 或DR2 中了。 为控制运算器向内总线上输出运算结果,在其输出端连接了一个三态门(用74LS245 实现)。若要将运算结果输出到总线上,则要将三态门74LS245 的控制端ALU-B 置低电平。否则输出高阻态。

2 图2.6-1 运算器通路图 数据输入单元(实验板上印有INPUT DEVICE)用以给出参与运算的数据。其中,输入开 关经过一个三态门(74LS245)和内总线相连,该三态门的控制信号为SW-B,取低电平时, 开关上的数据则通过三态门而送入内总线中。 总线数据显示灯(在BUS UNIT 单元中)已与内总线相连,用来显示内总线上的数据。 控制信号中除T4 为脉冲信号,其它均为电平信号。 由于实验电路中的时序信号均已连至“W/R UNIT”单元中的相应时序信号引出端,因 此,需要将“W/R UNIT”单元中的T4 接至“STATE UNIT”单元中的微动开关KK2 的输出 端。在进行实验时,按动微动开关,即可获得实验所需的单脉冲。 S3、S2、 S1、S0 、Cn、M、LDDR1、LDDR2、ALU-B、SW-B 各电平控制信号则使用“SWITCH UNIT”单元中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B 为低电平有效,LDDR1、 LDDR2 为高电平有效。 对于单总线数据通路,作实验时就要分时控制总线,即当向DR1、DR2 工作暂存器打入 数据时,数据开关三态门打开,这时应保证运算器输出三态门关闭;同样,当运算器输出结 果至总线时也应保证数据输入三态门是在关闭状态。 四.实验步骤 1.按图2.6-2 连接实验电路并检查无误。图中将用户需要连接的信号线用小圆圈标明(其 它实验相同,不再说明)。 2.开电源开关。

静态随机存储器实验

实 验 项 目 静态随机存储器实验实验时间2015-11-14 实 验 目 的 掌握静态随机存储器RAM 工作特性及数据的读写方法。 实 验 设 备 PC机一台,TD-CMA实验系统一套 实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM 单元),如图2-1-1 SRAM 6116引脚图所示。6116 有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如表2-1-1 所示,当片选有效(CS=0)时,OE=0 时进行读操作,WE=0 时进行写操作,本实验将CS 常接地。 图2-1-1 SRAM 6116引脚图 由于存储器(MEM)最终是要挂接到CPU上,所以其还需要一个读写控制逻辑,使得CPU 能控制MEM的读写,实验中的读写控制逻辑如图2-1-2所示,由于T3的参与,可以保证MEM的写脉宽与T3一致,T3由时序单元的TS3给出(时序单元的介绍见附录2)。IOM用来选择是对I/O 还是对MEM进行读写操作,RD=1时为读,WR=1时为写。

实 验 原 理 图2-1-2 读写控制逻辑 实验原理图如图2-1-3所示,存储器数据线接至数据总线,数据总线上接有8个LED灯显示D7…D0的内容。地址线接至地址总线,地址总线上接有8个LED灯显示A7…A0的内容,地址由地址锁存器(74LS273,位于PC&AR单元)给出。数据开关(位于IN单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。 图2-1-3 存储器实验原理图 实验箱中所有单元的时序都连接至时序与操作台单元,CLR都连接至CON单元的CLR按钮。 实验时T3由时序单元给出,其余信号由CON单元的二进制开关模拟给出,其中IOM应为低(即MEM操作),RD、WR高有效,MR和MW低有效,LDAR高有效。

存储器和IO扩展实验,计算机组成原理

科技学院 课程设计实验报告 ( 2014--2015年度第一学期) 名称:计算机组成原理综合实验题目:存储器和I/O扩展实验 院系:信息工程系 班级: 学号: 学生姓名: 指导教师:李梅王晓霞 设计周数:一周 成绩: 日期:2015 年1 月

一、目的与要求 1. 内存储器部件实验 (1)熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处;学习用编程器设备向EEPROM芯片内写入一批数据的过程和方法。 (2)理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案; (3)了解静态存储器系统使用的各种控制信号之间正常的时序关系; (4)了解如何通过读、写存储器的指令实现对58C65 ROM芯片的读、写操作; (5)加深理解存储器部件在计算机整机系统中的作用。 2. I/O口扩展实验 学习串行口的正确设置和使用。 二、实验正文 1.主存储器实验内容 1.1实验的教学计算机的存储器部件设计(说明只读存储器的容量、随机读写器的容量,各选用了什么型号及规格的芯片、以及地址空间的分布) 在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括唯读存储区(ROM,存放监控程序等) 和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB 的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片 实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分 成3组,其地址空间分配关系是:0-1777h用于第一组ROM,固化监控程序, 2000-2777h用于RAM,保存用户程序和用户数据,其高端的一些单元作为监 控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩 展内存容量(存储器的字、位扩展)的教学实验。 1.2扩展8K字的存储空间,需要多少片58C65芯片,58C65芯片进行读写时的特殊要求 要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8192个单元、每个单元由8个二进制位组成)存储器芯片实现。对 58C65 ROM芯片执行读操作时,需要保证正确的片选信号(/CE)为低点平, 使能控制信号(/OE)为低电平,读写命令信号(/WE)为高电平,读58C65 ROM 芯片的读出时间与读RAM芯片的读出时间相同,无特殊要求;对58C65 ROM 芯片执行写操作时,需要保证正确的片选信号(/CE)为低电平,使能控制信 号(/OE)为高电平,读写命令信号(/WE)为低电平,写58C65 ROM芯片的 维持时间要比写RAM芯片的操作时间长得多。为了防止对58C65 ROM芯片执 行误写操作,可通过把芯片的使能控制引脚(/OE)接地来保证,或者确保读 写命令信号(/WE)恒为高电平。 1.3在实验中思考为何能用E命令直接写58C65芯片的存储单元,而A命令则有时不正确;

计组实验一

实验报告

一.实验目的 熟悉存储器和总线的硬件电,掌握静态随机存储器 RAM 工作特性及数据的读写方法。了解与实验相关的各控制信号的意义,了解三态门在共享总线上所起的隔离作用,了解锁存器的作用及地址锁存的意义。 二.实验要求 按照实验步骤完成实验项目,实现对存储器的访问。 三.实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM 单元),如图1所示。6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如表1所示,当片选有效(CS=0)时,OE=0 时进行读操作,WE=0 时进行写操作,本实验将CS 常接地。 (图一) 由于存储器(MEM)最终是要挂接到CPU 上,所以其还需要一个读写控制逻辑,使得CPU 能控制MEM 的读写,实验中的读写控制逻辑如图2 所示,由于T3 的参与,可以保证MEM 的写脉宽与T3 一致,T3 由时序单元的TS3 给出(时序单元的介绍见第三章3.3 系统实验单元电路中6.时序与操作台单元部分)。IOM 用来选择是对I/O 还是对MEM 进行读写操作,RD=1 时为读,WR=1 时为写。 (图2)

实验原理图如图3所示,存储器数据线接至数据总线,数据总线上接有8 个LED 灯显示 D7…D0 的内容。地址线接至地址总线,地址总线上接有8 个LED 灯显示A7…A0 的内容,地址由地址锁存器(74LS273,位于PC&AR 单元)给出。数据开关(位于IN 单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8 位,接入6116 的地址A7…A0,6116 的高三位地址A10…A8 接地,所以其实际容量为256 字节。 图3 存储器实验原理图 实验箱中所有单元的时序都连接至时序与操作台单元,CLR 都连接至CON 单元的CLR 按钮。实验时T3 由时序单元给出,其余信号由CON 单元的二进制开关模拟给出,其中IOM 应为低(即MEM 操作),RD、WR 高有效,MR 和MW 低有效,LDAR 高有效。 四,实验步骤

实验三半导体存储器原理实验(精)

实验五半导体存储器原理实验 一、实验目的 掌握静态随机存取存储器RAM工作特性及数据的读写方法。 二、实验内容 1、实验原理 主存储器单元电路主要用于存放实验机的机器指令,如图所示,它的数据总线连到外部数据总线EXD0~EXD7上;它的地址总线由地址寄存器单元电路中的地址寄存器74LS273(U37)给出,地址值由8个LED灯LAD0~LAD7显示,高电平点亮,低电平熄灭;在手动方式下,输入数据由8位数据开关KD0~KD7提供,并经一三态门74LS245(U51)连至外部数据总线EXD0~EXD7,实验时将外部数据总线EXD0~EXD7用8芯排线连到内部数据总线BUSD0~BUSD7,分时给出地址和数据。它的读信号直接接地;它的写信号和片选信号由写入方式确定。该存储器中机器指令的读写分手动和自动两种方式。手动方式下,写信号由W/R`提供,片选信号由CE`提供;自动方式下,写信号由控制CPU的P1.2提供,片选信号由控制CPU的P1.1提供。 由于地址寄存器为8位,故接入6264的地址为A0~A7,而高4位A8~A12接地,所以其实际使用容量为256字节。6264有四个控制线:CS1为第一片选线、CS2为第二片选线、OE读出使能线及WE写使能线。其功能如表所示。CS1片选线由CE’控制(对应开关CE)、OE读出使能线直接接地、WE写使能线由W/R’控制(对应开关WE)、CS2直接接+5V。 图中信号线LDAR由开关LDAR提供,手动方式实验时,跳线器LDAR拨至左侧,脉冲信号T3由实验机上时序电路模块TS3提供,实验时只需将J22跳线器连上即可,T3的脉冲宽度可调。 2、实验接线 ①MBUS连BUS2; ②EXJ1连BUS3; ③跳线器J22的T3连TS3; ④跳线器J16的SP连H23; ⑤跳线器SWB、CE、WE、LDAR拨至左侧(手动位置)。

半导体存储器分类介绍

半导体存储器分类介绍 § 1. 1 微纳电子技术的发展与现状 §1.1.1 微电子技术的发展与现状 上个世纪50年代晶体管的发明正式揭开了电子时代的序幕。此后为了提高电子元器件的性能,降低成本,微电子器件的特征尺寸不断缩小,加工精度不断提高。1962年,由金属-氧化物-半导体场效应晶体管(MOSFET)组装成的集成电路(IC)成为微电子技术发展的核心。 自从集成电路被发明以来[1,2],集成电路芯片的发展规律基本上遵循了Intel 公司创始人之一的Gordon Moore在1965年预言的摩尔定律[3]:半导体芯片的集成度以每18个月翻一番的速度增长。按照这一规律集成电路从最初的小规模、中规模到发展到后来的大规模、超大规模(VLSI),再到现在的甚大规模集成电路(ULSI)的发展阶段。 随着集成电路制造业的快速发展,新的工艺技术不断涌现,例如超微细线条光刻技术与多层布线技术等等,这些新的技术被迅速推广和应用,使器件的特征尺寸不断的减小。其特征尺寸从最初的0.5微米、0.35 微米、0.25 微米、0.18 微米、0.15 微米、0.13 微米、90 纳米、65 纳米一直缩短到目前最新的32纳米,甚至是亚30纳米。器件特征尺寸的急剧缩小极大地提升了集成度,同时又使运算速度和可靠性大大提高,价格大幅下降。随着微电子技术的高速发展,人们还沉浸在胜利的喜悦之中的时候,新的挑战已经悄然到来。微电子器件等比例缩小的趋势还能维持多久?摩尔定律还能支配集成电路制造业多久?进入亚微米领域后,器件性能又会有哪些变化?这一系列的问题使人们不得不去认真思考。20世纪末

期,一门新兴的学科应运而生并很快得到应用,这就是纳电子技术。 §1.1.2 纳电子技术的应用与前景 2010年底,一篇报道英特尔和美光联合研发成果的文章《近距离接触25nm NAND闪存制造技术》[4],让人们清楚意识到经过近十年全球范围内的纳米科技热潮,纳电子技术已逐渐走向成熟。电子信息技术正从微电子向纳电子领域转变,纳电子技术必将取代微电子技术主导21世纪集成电路的发展。 目前,半导体集成电路的特征尺寸已进入纳米尺度范围,采用32纳米制造工艺的芯片早已问世,25纳米制造技术已正式发布,我们有理由相信相信亚20纳米时代马上就会到来。随着器件特征尺寸的减小,器件会出现哪些全新的物理效应呢? (1)量子限制效应。当器件在某一维或多维方向上的尺寸与电子的徳布罗意波长相比拟时,电子在这些维度上的运动将受限,导致电子能级发生分裂,电子能量量子化,出现短沟道效应、窄沟道效应以及表面迁移率降低等量子特性。 (2)量子隧穿效应。当势垒厚度与电子的徳布罗意波长想当时,电子便可以一定的几率穿透势垒到达另一侧。这种全新的现象已经被广泛应用于集成电路中,用于提供低阻接触。 (3)库仑阻塞效应。单电子隧穿进入电中性的库仑岛后,该库仑岛的静电势能增大e2/2C,如果这个能量远远大于该温度下电子的热动能K B T,就会出现所谓的库仑阻塞现象,即一个电子隧穿进入库仑岛后就会对下一个电子产生很强的排斥作用,阻挡其进入。 以上这些新的量子效应的出现使得器件设计时所要考虑的因素大大增加。目

相关主题