搜档网
当前位置:搜档网 › 30秒时间特殊计数器

30秒时间特殊计数器

30秒时间特殊计数器
30秒时间特殊计数器

课程设计

(说明书)

篮球竞赛30秒计时器设计

课程设计任务书

课程名称数字逻辑课程设计

院(系)计算机学院专业计算机科学与技术

班级学号姓名

课程设计题目篮球竞赛30秒计时器设计

课程设计时间: 2010 年07 月15 日至2010 年07 月24 日

课程设计的内容及要求:

一、设计说明

在篮球比赛进行过程中经常需要计时操作,设计一个用于篮球竞赛的30秒计时器。其原理参考框图如图1所示。

秒脉冲发生器

译码

显示计数器

控制电路报警电路

外部操

作开关

{

图1 篮球竞赛30秒计时器原理框图

二、技术指标

1.具有显示30秒的计时功能。

2.设置外部操作开关,控制计时器的直接清零、启动和暂停/ 连续功能。

3.计时器为30秒递减计时器,其计时间隔为1秒。

4.计时器递减计时到零时,数码显示器不能灭灯,应发出光电报警信号。

三、设计要求

1.在选择器件时,应考虑成本,要求采用LED显示。

2.根据技术指标,通过分析计算确定电路和元器件参数。

3.画出电路原理图(元器件标准化,电路图规范化)。

四、实验要求

1.根据技术指标制定实验方案;验证所设计的电路。

2.进行实验数据处理和分析。

五、推荐参考资料

1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告

指导教师年月日

负责教师年月日

学生签字年月日

成绩评定表

一、概述

在篮球比赛中,规定了一方的持球时间不能超过30秒,否则就被判做犯规。本课程设计的“篮球竞赛30秒计时器”,就可用于篮球比赛中,用于对球员持球时间30秒进行限制。一旦球员的持球时间超过了30秒,它自动的报警。

这次课设设计了一个一个篮球竞赛30秒计时器电路。它由秒脉冲发生器、计数器、译码显示电路、报警电路和时序控制电路五个部分组成。当计数器接收到秒脉冲后开始倒记数,等递减到0时,发光二极管亮光报警。通过控制电路来完成计时器的启动、计数、暂停/继续、译码显示电路的显示等功能。本电路用两个74LS192芯片构成递减计数器(30进制);控制电路由74LS00和74LS08构成;秒脉冲发生器由555集成定时器构成;两个数码管构成显示电路。

篮球竞赛30s计时器

沈阳航空航天大学 课程设计 (说明书) 篮球竞赛30秒计时器设计 班级机电1303 学号2013040604110 学生姓名曾闯 指导教师孙琦

一、概述 随着信息时代的到来,电子技术在社会生活中发挥越来越重要的作用,运用模电和数电知识设计电子产品成为社会生活不可缺少的部分。就计时器来说,成为检验运动员成绩的一种重要工具。 电子课程设计是电子技术学习中一个重要的环节,可以真正的锻炼学生的能力。在许多领域中计时器得到了普遍应用,如体育比赛,定时报警器,游戏中的倒时器,交通信号灯,红绿灯,行人灯等,说明了计时器的重要性。篮球竞赛中规定了球员持球的时间不能超过30s,否则就为犯规,本课程设计的正是“篮球竞赛30s计时器”,可用于篮球比赛中,对球员持球时间做30s限制,一旦球员持球时间超过30s,它自动报警从而判断球员犯规。 本次课设要求完成一个用于篮球竞赛的30秒计时器。 设计要求 ①篮球竞赛30秒计时器的直流稳压电源要求自己设计。 ②在选择器件时,应考虑成本,要求采用LED灯显示。 ③根据技术指标,通过分析计算确定电路和元器件参数。 ④画出电路原理图(元器件标准化,电路图规范化)。 二、方案设计 该电路包括脉冲发生器、计数器、译码显示电路、控制电路、报警电路、直流稳压电源6个部分组成。计数器和控制电路是主要部分。计数器完成30s计时功能,控制电路完成启动计数、暂停/连续计数、译码显示电路的显示和灭灯等功能。总体设计框图如下: 在篮球比赛过程中经常需要计时操作,设计一个用于篮球竞赛的30秒计时器。其原理如图1所示。 图1 篮球竞赛30秒计时器原理框图 能完成的功能:①显示30s倒计时功能;②系统外部设置操作开关,控制计时器直接清零、启动和连续/暂停功能;③在清零时数码管显示“00”;④计时

60进制计数器设计

《数字电子技术基础》课程设计任务书 专业:16电气工程及其自动化 班级:专升本二班 学号:160732060 姓名:王冬 指导教师:耿素军 二零一六年十二月二十七日

目录 1、计数器的概述 (3) 2、六十进制计数器 (4) 2.1设计要求 (4) 2.2设计方案框架图 (4) 3、六十进制计数器设计描述 (5) 3.1设计的思路 (5) 3.2设计的实现 (7) 4、六十进制计数器的仿真设计与仿真的结果 (10) 4.1基本电路分析仿真设计 (11) 4.2 计数器电路的仿真的结果 (12) 5、心得体会 (13) 6、参考文献 (13)

1、计数器概述 计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。 在数字电子技术中应用的最多的时序逻辑电路。计数器不仅能用于对时钟脉冲计数,还可以用于分频、定时、产生节拍脉冲和脉冲序列以及进行数字运算等。但是并无法显示计算结果,一般都是要通过外接LCD或LED屏才能显示。 计数器的种类 1.按照计数器中的触发器是否同时翻转分类,可将计数器分为同步计数器和异步计数器两种。 2.按照计数过程中数字增减分类,又可将计数器分为加法计数器、减法计数器和可逆计数器,随时钟信号不断增加的为加法计数器,不断减少的为减法计数器,可增可减的叫做可逆计数器。

数电课设篮球30秒倒计时器

目录 一论证 (2) 1.1 设计原理 1.2 设计方案 1.3 各分电路功能分析 1.3.1 计数器电路 1.3.2 脉冲发生电路 1.3.3 译码显示电路 1.3.4 控制电路 1.4 仿真原理图 电子技术课程设计任务书 题目:具有数字显示的篮球竞赛30S计时器 1.任务要求 设计一个篮球竞赛30S进攻时间限制计时器。 2.性能指标要求: (1)工作时间可在1—30S内任意设定。 (2)30S计时器是递减计时器,间隔为1S。能用LED数码管显示剩余工作时间,还能显示到1/10秒。即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。 (3)减1计时到7S时,发出三声低音预告信号。

(4) 减1计时到零时(工作时间到),发出三声高声,并自动停止计时。 (5) 具有暂停/继续工作、清零复位和启动计时功能。 3.设计与测试 按任务要求,设计电路,计算参数,选择元器件。根据所设计电路原理图,选择所需元器件连接电路,并按照调试步骤进行调试。 4. 成果要求 (1)课程设计说明书一本。 要求:内容完整,图表完备,字迹工整,条理清晰,分析有据。 (2)所用元器件清单 (3)电路实体和仿真。 要求:电路必须有仿真结果(可利用Multisim进行电路仿真分析),该电路实体必须是自己安装调试通过并达到性能指标要求的电路实体。 参考器件:两片74LS192、74LS00,叁片74LS48,一片555、74LS04、CC40161,共阴LED显示器3块,电阻、蜂鸣器、发光二极管等 5.答辩 在规定时间内,完成叙述并回答问题。 前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做时间提醒设备等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。有需要就会有市场,因此

数字电子技术课程设计篮球比赛30s计时器

一、设计目的 1.培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力 2.学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。3.运行基本技术训练,如基本仪器仪表的使用,产业元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规以及使用仿真软件、实验设备进行调试和数据处理等。 4.培养学生的创新能力。 二、设计要求 1.30秒计时器具有显示30秒的计时功能。 2.系统设置外部操作开关,控制计时器的直接置数、清零、启动、和暂停功能。3.计时器为30秒递减计时时,其计时间隔为1秒。 4.当计时器递减计时到零时,数码显示器不能灭灯,LED变亮报警。 三、总体设计 本实验的核心部分是要设计一个30s计数器,并且对计数结果进行实时显示,同时要实现设计任务中提到的各种控制要求,因此该系统包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等5个部分构成。其中,计数器和控制电路是系统的主要部分。计数器完成30s计时功能,而控制电路具有直接控制计数器的启动计数、暂停、连续计数、译码显示电路的显示和灭灯功能。为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。在操作直接清零开关时,要求计数器清零,数码显示器显示零。当启动开关闭合时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示30s字样;当启动开关断开

时,计数器开始计数;当暂停、连续开关拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停、连续开关拨在连续时,计数器继续递减计数。系统设计框图如图下图所示。 图1 四、单元电路设计 1、译码显示电路 用发光二极管(LED )组成字型来来显示数字。这种数码管的每个线段都是一个发光二极管,因此也称LED 数码管或LED 七段显示器。因为计算机输出的是BCD 码,要想在数码管上显示十进制数,就必须先把BCD 码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD 码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”因此在本次的设计中我们采用了常用的74LS48。 在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果;另一方 面用于监视数字系统的工作情况。因此,数字显示电路是许多数字设备不可缺少的部分。数字显示电路通常由译码器、驱动 器和显示器等部分组成,如下图所示。下面对显示器和译码驱动器分别进行介绍。

60进制计数器课程设计报告

电子技术基础实验 课程设计 60进制计数器

一、实验目的 (一)掌握中规模集成计数器74LS161的引脚图和逻辑功能。 (二)熟悉555集成定数器芯片的引脚图。 (三)利用74LS161和555定时器构成60进制计数器。 (四)在Multisim软件中仿真60进制计数器。 二、实验容 (一)集成计数器74LS161逻辑功能验证。 (二)用555定时器构成多谐振荡器。 (三)用两片74LS161和555定时器构成60进制计数器。 三、集成计数器介绍 (一)集成计数器74LS161管脚介绍 74LS161是4位二进制同步加法计时器。图1为它的管脚排列图,集成芯片74LS161的CLR是异步清零端(低电平有效),LOAD是异步预置数控制端(低电平有效)。CLK是时钟脉冲输入端,RCO是进位输出端,ENP、ENT是计数器使能端,高电平有效。A、B、C、D是数据输入端; QA、QB、QC、QD是数据输出端。

图1 74LS161管脚排列图 (二)集成计数器74LS161功能介绍 由表1可知,74LS161具有以下功能: 1.异步清零。当CLR=0时,无论其他各输入端的状态如何,计数器均被直接置“0”。 2.同步预置数。当CLR=1、LOAD=0且在CP上升沿作用时,计数器将ABCD同时置入QA、QB、QC、QD,使QA、QB、QC、QD=ABCD。 3.保持(禁止)。CLR=LOAD=1且ENP、ENT=0时,无论有无CP脉冲作用,计数器都将保持原有的状态不变(停止计数)。 4.计数。CLR=LOAD=ENP=ENT=1时,74LS161处于计数状态。 表1 74LS161功能表

课程设计报告-篮球30秒倒数计时器

课程设计报告-篮球30秒倒数计时器

信电学院 课程设计说明书(2011 /2012学年第二学期) 课程名称:电子技术课程设计 题目:篮球30秒倒数计时器 专业班级:自动化3班 学生姓名:程江峰 学号:100410317 指导教师:马志钢 设计周数:两周 课设成绩: 2012年7月5日

目录 一、课程设计摘要-------------------------------------------- 二、课程设计正文 1、课程设计任务与要求 2、方案设计(系统控制电路框图及说明) 3、元器件详细介绍 4、系统原理图、印制板图及其说明 5、安装、调试及性能测试与分析 6、课程设计总结及心得 三、课程设计总结 四、附录(PCB图)

五、参考文献 一、课程设计摘要 通过电子技术课程设计的综合训练,培养独立思考、分析问题、解决问题的能力,培养工程实践能力、创新能力和综合设计能力。根据所学模拟电子技术、数字系统与逻辑设计的理论,对模拟电子线路、数字电子线路以及模拟与数字综合电子线路进行设计、安装与调试。 定时电路是数字系统中的基本单元电路,它主要由计数器和振荡器组成。定时电路主要利用分立元件,中规模集成器件555定时器。用555定时器实现的定时电路主要应用单稳态触发器原理,实现定时器的功能。在实际工作中,定时器的应用场合很多,例如,篮球比赛规则中,队员持球时间不能超过30秒,就是定时电路的一种具体应用。 篮球竞赛30秒定时器电路主要利用555定时器产生时钟脉冲,触发计数器进行从30至00倒计数,并将计数结果通过译码电路和数码管显示,当计数器减至00时,报警电路进行报警。 二、课程设计正文 1、课程设计任务与要求30秒计时功能,两位数字显示,计时间隔为1秒。完成硬件制作实现30秒减计数,每次减计时结束后,蜂鸣器报警提示,数码管显示00;电路需设置外部开关,可使定时器直接复位,并具有启动计时、暂停/连续计时功

篮球竞赛30s计时器设计

1前言 随着科学技术与计算机应用的不断发展,以单片机作为核心的测量控制系统层出不穷。在被测信号中,较多的是以模拟和数字开关信号。此外还经常遇到以频率为参数的测量信号。例如流量,转速晶体压力传感以及参变量-频率转换后的信号等等。对于这些以频率为参数的被测信号通常采用测频法,测频率的测量在生产和科研部门中经常使用,也是一些大型系统实时检测的重要组成部分。数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波、方波、三角波、尖脉冲信号和其他具有周期特性的信号的频率,而且还可以测量它们的周期。经过改装,可以测量脉冲宽度,做成数字式脉宽测量仪;可以测量电容做成数字式电容测量仪;在电路中增加传感器,还可以做成数字脉搏仪、计价器等。因此数字频率计在测量物理量方面应用广泛。数字式频率计基于时间或频率的A/D转换原理,并依赖于数字电路技术发展起来的一种新型的数字测量仪器。由于数字电路的飞速发展,所以,数字频率计的发展也很快。通常能对频率和时间两种以上的功能数字化测量仪器,称为数字式频率计(通用计数器或数字式技术器)。本设计以ICM7216D大规模集成电路为核心,将被测频率信号送入设计电路,利用它内部的各种功能完成频率的测量。并采用动态扫描的方法通过6位数码管显示出相应的频率数值。大规模集成电路测量的频率精度高,速度快,并且设计简单方便,较一般逻辑电路所构成的数字频率计要精简的多,同时还具有时间显示功能,为各种生活工作提供了方便。

2总体方案设计 数字频率计主要由ICM7216D大规模集成电路及其他辅助电路组成,一个简易的数字频率计需要由时基信号发生器,闸门电路,门控电路,放大整形电路及计数译码显示,这几部分组成。ICM7216D大规模集成电路把计数,锁存,位,和段驱动,量程及小数点选择等电路集成在一片芯片上,是一个综合性能极强的元件。 2.1方案比较 方案一:采用了八块CD4000系列CMOS数字集成电路块。整机主要由输入电路,秒基准电路,锁存信号及清零脉冲发生电路、计数及显示电路以及电源部分等组成。输入电路应该根据被测脉冲的具体条件来决定。被测脉冲可以有很多类型。常见的有三角波、正弦波、矩形波等等。每种渡又都可有正向型、交流型、及负向型之分。 图2.2 方案一的方框图 方案二:用ICM7216D大规模集成电路,它把计数,锁存,译码,位和段驱动,量程及小数点选择等电路集中起来。只需一块芯片即可完成上述所有元件所集合起来的功能,这对我们的线路设计和功能的实现无疑是一个极大的方便。但是同样需要时基电路产生基准时钟脉冲,这里同样需要555时基电路(或者使用晶振电路产生标准始终脉冲),对于待测波形也必须经过整流之后才能进入ICM7216D,所以跟方案一的原理基本上保持一致,只要通过ICM7216D大规模集成电路也可以完成所有的功能,而且从电路的设计上简化了许多步骤,这样将节约更多设计电路的时间。

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

电子线路课程设计—篮球竞赛30秒计时器汇总

目录 1 设计课题任务、功能要求说明及总体方案介绍 (1) 1.1 设计课题任务 (1) 1.2 功能要求说明 (1) 1.3 总体方案介绍 (1) 2 单元电路的设计 (2) 2.1 秒脉冲发生器 (2) 2.2 计数器 (4) 2.3 译码显示 (4) 2.4 控制电路 (5) 2.5 报警电路 (5) 2.6 电路原理图和PCB图 (5) 3 芯片引脚及功能说明 (6) 3.1 555定时器 (6) 3.2 74LS161 (7) 3.3 74LS192 (7) 3.4 74LS48 (8) 4 仿真结果及分析 (10) 4.1 仿真总原理图 (10) 4.2 仿真结果 (11) 小结 (12) 元件清单 (13) 参考文献 (14) 附录 (15) 附录A:电路原理图 (15) 附录B:电路PCB图 (16)

1 设计课题任务、功能要求说明及总体方案介绍 1.1 设计课题任务 设计并制作一个篮球竞赛计时器 1.2 功能要求说明 1.准确计时,具有显示30秒计时功能; 2.设置外部操作开关,控制计时器的直接清零、启动和暂停、连续功能; 3.计时器为30s递减计时器,其计时间隔为1s; 4.计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 1.3 总体方案介绍 篮球竞赛30秒计时器的总体方案框图如图1.1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。其中计数器和控制电路时系统的主要模块。计数器完成30秒技术功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示、定时完成报警等功能。 图1.1 30秒计时器的总体方案框图

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

篮球竞赛24s计时器.(DOC)

电子课程设计 ——篮球竞赛24s计时器 学院:电子信息工程学院 专业: 姓名: 学号: 指导教师: 2014年12月

目录 一、设计任务与要求----------------------------3 二、总体框图----------------------------------3 三、选择器件----------------------------------4 四、功能模块---------------------------------10 五、总体设计电路图---------------------------14 六、硬件调试---------------------------------17 七、心得与总结-------------------------------17

篮球竞赛24s 计时器 一 、设计任务与要求 1、设计一个具有显示24S 计时功能的篮球竞赛计时器。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、计时器为24S 递减计时器,其时间间隔为0.01秒。 4、计时器减计时到零时,发出报警信号。 二 、基本原理与电路框图 1. 电路框图 篮球竞赛24秒计时器的电路框图如图2-1所示。 图2-1 电路框图 2. 基本原理 (1) 24秒计时器的总体参考方案框图如图1所示。它包括秒脉冲发生器、 计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路) 等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒 计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 十位显示 秒脉冲触发器 控制电路 计数器 计数器 报警电路 个位显示

篮球竞赛30秒定时器1

篮球竞赛30秒定时器 年级专业: 指导老师: 所在学校: 2011年 3 月

摘要 (3) 篮球竞赛30秒定时器 (5) 1.设计要求 (5) 2.设计流程图 (5) 3.单元电路介绍 (5) 3.1操作开关 (5) 3.2秒脉冲发生器 (5) 3.3计数器 (7) 3.4译码显示 (8) 3.5由与非门组成的基本RS触发器 (10) 3.6报警电路 (11) 3.7整体电路 (11) 4.元件清单 (14) 5.调试 (15) 5.2 计数、译码、显示单元的测试 (15) 6. 电路测试及测试结果 (15) 6. 设计总结 (16) 7.参考文献 (17)

在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就视为犯规。本次设计的“篮球竞赛30秒定时器”,可用于篮球比赛中对球员持球时间作30秒时间限制。一旦球员的持球时间超过了30秒,它自动报警,从而判定此球员犯规。 本设计主要能完成:显示30秒倒计时功能;系统设置外部操作开关,控制定时器的直接清零/启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;定时器为30秒递减计时,其计时间隔为1秒;定时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号等。此定时器功能齐全,可以直接清零、启动、暂停和连续,以及具有光电报警功能,同时应用了七段数码管来显示时间。此定时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当定时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 关键词: 篮球竞赛 30秒倒计时 光电报警数码显示

数电课程设计 篮球竞赛30秒计时器

数字电子技术课程设计 学院: 班级: 姓名: 学号:

23509444.doc 摘要 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 关键字计时器光电报警模块化 第 2 页共25 页

目录 前言 (4) 第一章计数器概述 (5) 1.1 计时器的特点及应用 (5) 1.2 设计任务及要求 (6) 第二章电路设计原理及单元模块 (7) 2.1 设计原理 (7) 2.2 设计方案 (8) 2.3 单元模块 (10) 2.3.1 8421BCD码递减计数器模块 (10) 2.3.2 时钟模块 (13) 2.3.3 辅助时序控制模块 (14) 2.3.4 译码显示模块 (17) 第三章安装与调试 (20) 3.1 电路的安装 (20) 3.2 电路的调试 (20) 第四章实验体会 (21) 结论 (23) 参考文献 (24) 附录 (25) 第 3 页共25 页 3

篮球竞赛30秒定时电路设计

30 秒 篮 球 竞 赛 定 时 器 学院:专业班级:姓名:学号:指导老师:

目录 第一章序言 (2) 第二章任务书的设计 (2) 第三章电路的组成............................... . (3) 第四章设计原理及步骤 (4) 第五章安装与调试 (11) 第六章总结 (11)

第一章序言 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。 第二章任务书的设计 一、设计题目:篮球竞赛30秒定时电路 二、技术要求 1、设计一个30秒计时电路,并具有时间显示的功能。 2、设置外部操作开关,控制计时器的直接清零,启动和暂停/连续计时。 3、要求计时电路递减计时,每隔一秒钟,计时器减一。 4、当计时器递减计时到零(即定时时间到)时,显示器上显示00。同时发出光电报 警信号。 三、给定条件及器件 1、集成电路:74LS192 2片,74LS48 2片, NE555 1片 2、电阻:10kΩ 4片,15kΩ 68kΩ 1kΩ各1片 3、电容:10μF 1片, 0.1μF 1片 4、其他器件:发光二极管 1只,共阴极七段LED显示器 2只双刀开关 2只 四、设计内容 1.电路各部分的组成和工作原理。 2.元器件的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到的问题的原因及解决的办法。

第三章电路的组成 30秒定时器的总体参考方案框图如图所示。它包括秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个部分。 图1 24秒定时器的方框图 一、秒脉冲发生器——产生的信号是电路的时序脉冲和定时标准,但本设计对此信号的要求并不是太高,电路可以采用555集成电路构成。 二、计数器——完成30秒计时的功能。 三、单元译码显示电路——可以用74LS48和共阴极七段LED显示器组成。 四、控制电路——完成计数器的直接清零、启动计数、暂停/继续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 五、电路报警电路——可以用发光二极管组成。

篮球竞赛30秒定时电路

篮球竞赛30秒定时电路 定时电路是数字系统中的基本单元电路,它主要由计数器和振荡器组成。在实际工作中,定时器的应用场合很多,例如,篮球比赛规则中,队员持球时间不能超过30秒,就是定时电路的一种具体应用。 一、设计任务与要求 1.设计一个30秒计时电路,并具有时间显示的功能。 2.设置外部操作开关,控制计时器的直接清零、启动和暂停/连续计时。 3.要求计时电路递减计时,每隔1秒钟,计时器减1。 4.当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。 二、设计原理与参考电路 1.分析要求,画出原理框图 30秒定时器的总体参考方案框图如图11-1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个部分组成。其中计数器和控制电路是系统的主要部分。计数器完成30秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 外部操 作开关 图11.1 30秒定时器的总体设计方案框图 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。 译码显示电路用CD4048和共阴极七段LED显示器组成。报警电路在实验中可用发光二极管代替。 2.单元电路设计 (1)8421BCD码递减计数器 计数器选用中规模集成电路CD40l92进行设计较为简便,CD40l92是十进制可编程同步加/减计数器,它采用8421码二—十进制编码,并具有直接清零、置数、加/减计数功能。图11-2是CD40l92的管脚排列图,图11-3是时序波形图。图中CP U、CP D分别是加计数、减计数的时钟脉冲输入端(上升沿有效)。PE

篮球竞赛30秒计时器-数电课程设计

篮球竞赛30秒计时器 序言 篮球比赛中除了有总时间倒计时外,为了加快比赛的节奏,新的规则还要求进攻方在24秒内有一次投篮动作,否则视为违例。本人设计了一个篮球比赛计时器,可对比赛总时间和各方每次控球时间计时。该计时器采用按键操作、LED显示,非常实用。此计时器也可作为其他球类比赛的计时器。 一、设计要求: 1.30秒计时器具有显示30秒的计时功能。 2.系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。3.计时器为30秒递减计时时,其计时间隔为1秒。 4.当计时器递减计时到零时,数码显示器不能灭灯,应发出光电报警信号。 二、设计方案 分析设计任务,该系统包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等5个部分构成。其中,计数器和控制电路是系统的主要部分。计数器完成30s计时功能,而控制电路具有直接控制计数器的启动计数、暂停/连续计数、译码显示电路的显示和灭灯功能。为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。在操作直接清零开关时,要求计数器清零,数码显示器灭灯。当启动开关闭合时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示30s 字样;当启动开关断开时,计数器开始计数;当暂停/连续开关拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停/连续开关拨在连续时,计数器继续递减计数。另外,外部操作开关都应采取去抖动措施,以防止机械抖动造成电路工作不稳定。系统设计框图如图下图所示。

成,如荧光数码管等。第三种是点阵式,它由一些按一定规律排列的可发光的点阵所组成,利用光点的不同组合便可显示不同的数码,如场致发光记分牌。

篮球24秒倒计时器

湖南工业大学 课程设计 资料袋 电气与信息工程学院(系、部)2016~2017 学年第 1 学期课程名称电子技术课程设计指导教师黄卓冕职称讲师 学生姓名谢富专业班级电气工程1401 学号14401300114 题目篮球比赛24秒计时器设计 成绩起止日期2016 年11 月14 日~2016 年11 月18 日 目录清单

湖南工业大学 课程设计任务书 2016年~2017学年第1 学期 电气与信息工程学院(系、部)电气工程专业1401 班级课程名称:电子技术课程设计 设计题目:篮球比赛24秒计时器设计 完成期限:自2016 年11 月14 日至2016 年11 月18 日共 1 周

安 排 2016.11.15--17 学生进行设计 2015.11.18 学生修改、打印设计报告 主要参考资料[1] 康华光电子技术基础模拟部分(第五版)高等教育出版社 2007年 [2] 欧伟明. 实用数字电子技术. 北京:电子工业出版社,2012 [3] 陆应华. 电子系统设计教程. 北京:国防工业出版社,2005 [4] 李忠波等. 电子技术仿真与实践. 北京:机械工业出版社,2004 指导教师(签字):年月日 系(教研室)主任(签字):年月日 电子技术课程设计 设计说明书 起止日期:2016 年11 月14 日至2016 年11 月18 日篮球比赛24秒计时器设计

学生姓名谢富 班级电气工程1401 学号14401300114 成绩 指导教师(签字) 电气与信息工程学院(部) 篮球比赛24秒计时器设计 设计目的及要求 一、设计要求 (1)设计指标 1、具有24秒计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时, 计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 6、将24秒递减计时器改为24秒递增计时器,试问电路要作哪些相应的改动。 它包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等五个部分组成。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路是控制计时器的直接清零、启动计数和暂停/连续计数、译码显示电路的显示和灭灯等功能。 为保证系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系: 1.操作直接清零开关时,要求计数器清零,数码显示器灭灯。 2.当启动开关闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,数码显示器显示24秒

10进制和60进制计数器

十进制计数器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10IS PORT(CLK,RST,EN:IN STD_LOGIC; CQ:OUT STD_LOGIC_VECTOR(3DOWNTO 0); COUT:OUT STD_LOGIC); END CNT10; ARCHITECTURE behav OF CNT10IS BEGIN PROCESS(CLK,RST,EN) VARIABLE CQI: STD_LOGIC_VECTOR(3DOWNTO0); BEGIN IF RST='1'THEN CQI:=(OTHERS =>'0');--计数 ELSIF CLK'EVENT AND CLK='1'THEN IF EN='1'THEN IF CQI<9THEN CQI:=CQI+1; --允许计数, ELSE CQI:=(OTHERS=>'0'); --大于9, END IF; END IF; END IF; IF CQI=9THEN COUT<='1';--计数大于9,输出进位信号 ELSE COUT<='0'; END IF; CQ<=CQI;--将计数值向端口输出 END PROCESS; END behav;六十进制计数器源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt60is port(clk,rst,en:in std_logic; cq:out std_logic_vector(7downto0); cout:out std_logic); end cnt60; architecture behav of cnt60is begin process(clk,rst,en) variable cqi:std_logic_vector(7downto0); begin if rst='1'then cqi:=(others=>'0'); elsif clk'event and clk='1'then if en='1'then if cqi<59then cqi:=cqi+1; else cqi:=(others=>'0'); end if; end if; end if; if cqi=59then cout<='1'; else cout<='0'; end if; cq<=cqi; end process; end behav;

篮球竞赛30秒计时器 数字电路课程设计报告

篮球竞赛30秒计时器数字电路课程设计报告数字电路课程设计报告篮球竞赛30秒计时器 专业: 指导教师: 班级: 年级: 同组者: 2010年12月31日 目录 一、设计目 的 ..................................................................... .................... 3 二、设计内容及要 求 ..................................................................... ........ 3 三、电路工作原 理 ..................................................................... (3) 3.1分析要求,画原理框 图 (3) 3.2脉冲发生电 路 ..................................................................... . (4)

3.3计数器电 路 ..................................................................... (4) 3.4报警电 路 ..................................................................... . (5) 3.5元件清 单 ..................................................................... ................ 5 四、仿真电原理 图 ..................................................................... ............ 6 五、电路检 测 ..................................................................... .................... 6 六、测试结 果 ..................................................................... .................... 7 七、设计总 结 ..................................................................... .................... 8 八、参考文 献 ..................................................................... .. (9) 1 摘要 本设计主要是完成篮球竞赛30秒计时器,显示30秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码

数字电路-篮球比赛30秒倒计时

《篮球竞赛30S计时器》 课程设计说明书 题目篮球竞赛30S计时器学生姓名 学号 所属学院 专业 班级 2 指导教师 教师职称

目录 一、设计要求 (1) 二、电路设计与分析 2.1设计原理 (1) 2.2单元模块 (1) 1、秒脉冲信号的产生 (1) 2、计数器 (3) 3、时钟信号控制电路 (4) 4、显示模块电路 (5) 5、报警电路 (6) 三、外部操作开关 (6) 四、设计原理图与电路总图 (6) 五、仿真测试性能 (7) 六、总结 (9)

一、设计要求 篮球竞赛30S计时器的基本要求: 1)具有显示30S计时功能 2)设置外部操作开关,控制计数器的直接清零,启动和暂停/连续功能 3)在直接清零时,要求数码显示器灭灯 4)计时器为30S递减计时,计时间隔为1S 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号 〖主要元器〗:NE555(1),74LSl92(2)等 二、电路分析与设计 2.1设计原理 篮球竞赛30S计时器的总体设计方案如下:它主要包括秒脉冲信号,30S 计数器,译码显示器部分,报警电路和外部操作开关五部分组成,其中外部操作开关包括接直接清零,启动和暂停/继续。 2.2单元模块 1、秒脉冲信号的产生 555定时器主要是通过外接电阻R和电容器C构成充、放电电路,并由两个比较器来检测电容器上的电压,以确定输出电平的高低和放电开关管的通断。这就很方便地构成从微秒到数十分钟的延时电路、以及多谐振荡器、单稳态触发器、施密特触发器等脉冲波形产生和整形电路。 NE555为8脚时基集成电路,各脚主要功能 1地 GND 2触发 3输出 4复位 5控制电压 6门限(阈值) 7放电 8电压Vcc 用555定时器构成多谐振荡器: 用555定时器构成多谐振荡器电路如图(a)所示。电路没有稳态,只有两个暂 稳态,也不需要外加触发信号,利用电源V CC 通过R 1 和R 2 向电容器C充电,使u C 逐 渐升高,升到2V CC /3时,u O 跳变到低电平,放电端D导通,这时,电容通过电阻R 2 和 D端放电,使u C 下降,降到V CC /3时,u O 跳变到高电平,D端截止,电源V CC 又通过R 1 和R 2向电容器C充电。如此循环,振荡不停, 电容器C在V CC /3和2V CC /3之间充 电和放电,输出连续的矩形脉冲,其波形如图(b)所示。

相关主题