搜档网
当前位置:搜档网 › 74系列元件引脚图

74系列元件引脚图

74系列元件引脚图
74系列元件引脚图

反相器驱动器LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS24 5

与门与非门LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38

或门或非门与或非门LS02 LS32 LS51 LS64 LS65

异或门比较器LS86

译码器LS138 LS139

寄存器LS74 LS175 LS373

反相器:

Vcc 6A 6Y 5A 5Y 4A 4Y 六非门 74LS04

┌┴—┴—┴—┴—┴—┴—┴┐六非门(OC门) 74LS05

_ │14 13 12 11 10 9 8│六非门(OC高压输出) 74LS06

Y = A )│

│1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1A 1Y 2A 2Y 3A 3Y GND

驱动器:

Vcc 6A 6Y 5A 5Y 4A 4Y

┌┴—┴—┴—┴—┴—┴—┴┐

│14 13 12 11 10 9 8│

Y = A )│六驱动器(OC高压输出) 74LS07

│1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1A 1Y 2A 2Y 3A 3Y GND

Vcc -4C 4A 4Y -3C 3A 3Y

┌┴—┴—┴—┴—┴—┴—┴┐

_ │14 13 12 11 10 9 8│

Y =A+C )│四总线三态门74LS125

│1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

-1C 1A 1Y -2C 2A 2Y GND

Vcc -G B1 B2 B3 B4 B8 B6 B7 B8

┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐ 8位总线驱动器74LS245 │20 19 18 17 16 15 14 13 12 11│

)│ DIR=1 A=>B

│1 2 3 4 5 6 7 8 9 10│ DIR=0 B=>A

└┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘

DIR A1 A2 A3 A4 A5 A6 A7 A8 GND

页首非门,驱动器与门,与非门或门,或非门异或门,比较器译码器寄存器

正逻辑与门,与非门:

Vcc 4B 4A 4Y 3B 3A 3Y

┌┴—┴—┴—┴—┴—┴—┴┐

│14 13 12 11 10 9 8│

Y = AB )│ 2输入四正与门74LS08

│1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1A 1B 1Y 2A 2B 2Y GND

Vcc 4B 4A 4Y 3B 3A 3Y

┌┴—┴—┴—┴—┴—┴—┴┐

__ │14 13 12 11 10 9 8│

Y = AB )│ 2输入四正与非门74LS00 │1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1A 1B 1Y 2A 2B 2Y GND

Vcc 1C 1Y 3C 3B 3A 3Y

┌┴—┴—┴—┴—┴—┴—┴┐

___ │14 13 12 11 10 9 8│

Y = ABC )│ 3输入三正与非门74LS10 │1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1A 1B 2A 2B 2C 2Y GND

Vcc H G Y

┌┴—┴—┴—┴—┴—┴—┴┐

│14 13 12 11 10 9 8│

)│ 8输入与非门74LS30

│1 2 3 4 5 6 7│ ________

└┬—┬—┬—┬—┬—┬—┬┘ Y = ABCDEFGH

A B C D E F GND

页首非门,驱动器与门,与非门或门,或非门异或门,比较器译码器寄存器

正逻辑或门,或非门:

Vcc 4B 4A 4Y 3B 3A 3Y

┌┴—┴—┴—┴—┴—┴—┴┐ 2输入四或门74LS32

│14 13 12 11 10 9 8│

)│ Y = A+B

│1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1A 1B 1Y 2A 2B 2Y GND

Vcc 4Y 4B 4A 3Y 3B 3A

┌┴—┴—┴—┴—┴—┴—┴┐ 2输入四或非门74LS02

│14 13 12 11 10 9 8│___

)│Y = A+B

│1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1Y 1A 1B 2Y 2A 2B GND

Vcc 2Y 2B 2A 2D 2E 1F

┌┴—┴—┴—┴—┴—┴—┴┐双与或非门74S51

│14 13 12 11 10 9 8│_____

)│ 2Y = AB+DE

│1 2 3 4 5 6 7│_______

└┬—┬—┬—┬—┬—┬—┬┘ 1Y = ABC+DEF

1Y 1A 1B 1C 1D 1E GND

Vcc D C B K J Y

┌┴—┴—┴—┴—┴—┴—┴┐ 4-2-3-2与或非门74S64 74S65(OC门) │14 13 12 11 10 9 8│ ______________

)│ Y = ABCD+EF+GHI+JK

│1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

A E F G H I GND

页首非门,驱动器与门,与非门或门,或非门异或门,比较器译码器寄存器

2输入四异或门74LS86

Vcc 4B 4A 4Y 3Y 3B 3A

┌┴—┴—┴—┴—┴—┴—┴┐

│14 13 12 11 10 9 8│

)│ _ _

│1 2 3 4 5 6 7│ Y=AB+AB

└┬—┬—┬—┬—┬—┬—┬┘

1A 1B 1Y 2Y 2A 2B GND

8*2输入比较器74LS688

_

Vcc Y B8 A8 B7 A7 B6 A6 B5 A5

┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐ 8*2输入比较器74LS688

│20 19 18 17 16 15 14 13 12 11│

)│

│1 2 3 4 5 6 7 8 9 10│

└┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘

CE A1 B1 A2 B2 A3 B3 A4 B4 GND

_

Y=A1⊙B1+A2⊙B2+A3⊙B3+A4⊙B4+A5⊙B5+A6⊙B6+A7⊙B7+A8⊙B8

页首非门,驱动器与门,与非门或门,或非门异或门,比较器译码器寄存器

3-8译码器74LS138

Vcc -Y0 -Y1 -Y2 -Y3 -Y4 -Y5 -Y6 __ _ _ _ __ _ _ __ _ _ __ _ ┌┴—┴—┴—┴—┴—┴—┴—┴┐ Y0=A B C Y1=A B B Y2=A B C Y3=A B C

│16 15 14 13 12 11 10 9 │

)│ __ _ _ __ _ __ _ __

│1 2 3 4 5 6 7 8│ Y4=A B C Y5=A B C Y6=A B C Y7=A B C

└┬—┬—┬—┬—┬—┬—┬—┬┘

A B C -CS0 -CS1 CS2 -Y7 GND

双2-4译码器74LS139

Vcc -2G 2A 2B -Y0 -Y1 -Y2 -Y3 __ __ __ __ __ __ __ __

┌┴—┴—┴—┴—┴—┴—┴—┴┐ Y0=2A 2B Y1=2A 2B Y2=2A 2B Y3= 2A 2B

│16 15 14 13 12 11 10 9 │

)│ __ __ __ __ __ __ __ __

│1 2 3 4 5 6 7 8│ Y0=1A 1B Y1=1A 1B Y2=1A 1B Y3=1A 1B

└┬—┬—┬—┬—┬—┬—┬—┬┘

-1G 1A 1B -Y0 -Y1 -Y2 -Y3 GND

8*2输入比较器74LS688

_

Vcc Y B8 A8 B7 A7 B6 A6 B5 A5

┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐ 8*2输入比较器74LS688

│20 19 18 17 16 15 14 13 12 11│

)│

│1 2 3 4 5 6 7 8 9 10│

└┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘

CE A1 B1 A2 B2 A3 B3 A4 B4 GND

_

Y=A1⊙B1+A2⊙B2+A3⊙B3+A4⊙B4+A5⊙B5+A6⊙B6+A7⊙B7+A8⊙B8

寄存器:

Vcc 2CR 2D 2Ck 2St 2Q -2Q

┌┴—┴—┴—┴—┴—┴—┴┐双D触发器74LS74

│14 13 12 11 10 9 8 │

)│

│1 2 3 4 5 6 7│

└┬—┬—┬—┬—┬—┬—┬┘

1Cr 1D 1Ck 1St 1Q -1Q GND

Vcc 8Q 8D 7D 7Q 6Q 6D 5D 5Q ALE

┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐ 8位锁存器74LS373

│20 19 18 17 16 15 14 13 12 11│

)│

│1 2 3 4 5 6 7 8 9 10│

└┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘

-OE 1Q 1D 2D 2Q 3Q 3D 4D 4Q GND 等.

下面介绍一下常用的74芯片,以便大家在电路中遇到了查询----------------------------------------------------

型号内容

----------------------------------------------------

74ls00 2输入四与非门

74ls01 2输入四与非门(oc)

74ls02 2输入四或非门

74ls03 2输入四与非门(oc)

74ls04 六倒相器

74ls05 六倒相器(oc)

74ls06 六高压输出反相缓冲器/驱动器(oc,30v) 74ls07 六高压输出缓冲器/驱动器(oc,30v)

74ls08 2输入四与门

74ls09 2输入四与门(oc)

74ls10 3输入三与非门

74ls11 3输入三与门

74ls12 3输入三与非门(oc)

74ls13 4输入双与非门(斯密特触发)

74ls14 六倒相器(斯密特触发)

74ls15 3输入三与门(oc)

74ls16 六高压输出反相缓冲器/驱动器(oc,15v) 74ls17 六高压输出缓冲器/驱动器(oc,15v)

74ls18 4输入双与非门(斯密特触发)

74ls19 六倒相器(斯密特触发)

74ls20 4输入双与非门

74ls21 4输入双与门

74ls22 4输入双与非门(oc)

74ls23 双可扩展的输入或非门

74ls24 2输入四与非门(斯密特触发)

74ls25 4输入双或非门(有选通)

74ls26 2输入四高电平接口与非缓冲器(oc,15v) 74ls27 3输入三或非门

74ls28 2输入四或非缓冲器

74ls30 8输入与非门

74ls31 延迟电路

74ls32 2输入四或门

74ls33 2输入四或非缓冲器(集电极开路输出) 74ls34 六缓冲器

74ls35 六缓冲器(oc)

74ls36 2输入四或非门(有选通)

74ls37 2输入四与非缓冲器

74ls38 2输入四或非缓冲器(集电极开路输出) 74ls39 2输入四或非缓冲器(集电极开路输出) 74ls40 4输入双与非缓冲器

74ls41 bcd-十进制计数器

74ls42 4线-10线译码器(bcd输入)

74ls43 4线-10线译码器(余3码输入)

74ls44 4线-10线译码器(余3葛莱码输入)

74ls45 bcd-十进制译码器/驱动器

74ls46 bcd-七段译码器/驱动器

74ls47 bcd-七段译码器/驱动器

74ls48 bcd-七段译码器/驱动器

74ls49 bcd-七段译码器/驱动器(oc)

74ls50 双二路2-2输入与或非门(一门可扩展)

74ls51 双二路2-2输入与或非门

74ls51 二路3-3输入,二路2-2输入与或非门

74ls52 四路2-3-2-2输入与或门(可扩展)

74ls53 四路2-2-2-2输入与或非门(可扩展)

74ls53 四路2-2-3-2输入与或非门(可扩展)

74ls54 四路2-2-2-2输入与或非门

74ls54 四路2-3-3-2输入与或非门

74ls54 四路2-2-3-2输入与或非门

74ls55 二路4-4输入与或非门(可扩展)

74ls60 双四输入与扩展

74ls61 三3输入与扩展

74ls62 四路2-3-3-2输入与或扩展器

74ls63 六电流读出接口门

74ls64 四路4-2-3-2输入与或非门

74ls65 四路4-2-3-2输入与或非门(oc)

74ls70 与门输入上升沿jk触发器

74ls71 与输入r-s主从触发器

74ls72 与门输入主从jk触发器

74ls73 双j-k触发器(带清除端)

74ls74 正沿触发双d型触发器(带预置端和清除端)

74ls75 4位双稳锁存器

74ls76 双j-k触发器(带预置端和清除端)

74ls77 4位双稳态锁存器

74ls78 双j-k触发器(带预置端,公共清除端和公共时钟端) 74ls80 门控全加器

74ls81 16位随机存取存储器

74ls82 2位二进制全加器(快速进位)

74ls83 4位二进制全加器(快速进位)

74ls84 16位随机存取存储器

74ls85 4位数字比较器

74ls86 2输入四异或门

74ls87 四位二进制原码/反码/oi单元

74ls89 64位读/写存储器

74ls90 十进制计数器

74ls91 八位移位寄存器

74ls92 12分频计数器(2分频和6分频)

74ls93 4位二进制计数器

74ls94 4位移位寄存器(异步)

74ls95 4位移位寄存器(并行io)

74ls96 5位移位寄存器

74ls97 六位同步二进制比率乘法器

74ls100 八位双稳锁存器

74ls103 负沿触发双j-k主从触发器(带清除端)

74ls106 负沿触发双j-k主从触发器(带预置,清除,时钟) 74ls107 双j-k主从触发器(带清除端)

74ls108 双j-k主从触发器(带预置,清除,时钟)

74ls109 双j-k触发器(带置位,清除,正触发)

74ls110 与门输入j-k主从触发器(带锁定)

74ls111 双j-k主从触发器(带数据锁定)

74ls112 负沿触发双j-k触发器(带预置端和清除端)

74ls113 负沿触发双j-k触发器(带预置端)

74ls114 双j-k触发器(带预置端,共清除端和时钟端) 74ls116 双四位锁存器

74ls120 双脉冲同步器/驱动器

74ls121 单稳态触发器(施密特触发)

74ls122 可再触发单稳态多谐振荡器(带清除端)

74ls123 可再触发双单稳多谐振荡器

74ls125 四总线缓冲门(三态输出)

74ls126 四总线缓冲门(三态输出)

74ls128 2输入四或非线驱动器

74ls131 3-8译码器

74ls132 2输入四与非门(斯密特触发)

74ls133 13输入端与非门

74ls134 12输入端与门(三态输出)

74ls135 四异或/异或非门

74ls136 2输入四异或门(oc)

74ls137 八选1锁存译码器/多路转换器

74ls138 3-8线译码器/多路转换器

74ls139 双2-4线译码器/多路转换器

74ls140 双4输入与非线驱动器

74ls141 bcd-十进制译码器/驱动器

74ls142 计数器/锁存器/译码器/驱动器

74ls145 4-10译码器/驱动器

74ls147 10线-4线优先编码器

74ls148 8线-3线八进制优先编码器

74ls150 16选1数据选择器(反补输出)

74ls151 8选1数据选择器(互补输出)

74ls152 8选1数据选择器多路开关

74ls153 双4选1数据选择器/多路选择器

74ls154 4线-16线译码器

74ls155 双2-4译码器/分配器(图腾柱输出)

74ls156 双2-4译码器/分配器(集电极开路输出)

74ls157 四2选1数据选择器/多路选择器

74ls158 四2选1数据选择器(反相输出)

74ls160 可预置bcd计数器(异步清除)

74ls161 可预置四位二进制计数器(并清除异步)

74ls162 可预置bcd计数器(异步清除)

74ls163 可预置四位二进制计数器(并清除异步)

74ls164 8位并行输出串行移位寄存器

74ls165 并行输入8位移位寄存器(补码输出)

74ls166 8位移位寄存器

74ls167 同步十进制比率乘法器

74ls168 4位加/减同步计数器(十进制)

74ls169 同步二进制可逆计数器

74ls170 4*4寄存器堆

74ls171 四d触发器(带清除端)

74ls172 16位寄存器堆

74ls173 4位d型寄存器(带清除端)

74ls174 六d触发器

74ls175 四d触发器

74ls176 十进制可预置计数器

74ls177 2-8-16进制可预置计数器

74ls178 四位通用移位寄存器

74ls179 四位通用移位寄存器

74ls180 九位奇偶产生/校验器

74ls181 算术逻辑单元/功能发生器

74ls182 先行进位发生器

74ls183 双保留进位全加器

74ls184 bcd-二进制转换器

74ls185 二进制-bcd转换器

74ls190 同步可逆计数器(bcd,二进制)

74ls191 同步可逆计数器(bcd,二进制)

74ls192 同步可逆计数器(bcd,二进制)

74ls193 同步可逆计数器(bcd,二进制)

74ls194 四位双向通用移位寄存器

74ls195 四位通用移位寄存器

74ls196 可预置计数器/锁存器

74ls197 可预置计数器/锁存器(二进制)

74ls198 八位双向移位寄存器

74ls199 八位移位寄存器

74ls210 2-5-10进制计数器

74ls213 2-n-10可变进制计数器

74ls221 双单稳触发器

74ls230 八3态总线驱动器

74ls231 八3态总线反向驱动器

74ls240 八缓冲器/线驱动器/线接收器(反码三态输出) 74ls241 八缓冲器/线驱动器/线接收器(原码三态输出)

74ls243 4同相三态总线收发器

74ls244 八缓冲器/线驱动器/线接收器

74ls245 八双向总线收发器

74ls246 4线-七段译码/驱动器(30v)

74ls247 4线-七段译码/驱动器(15v)

74ls248 4线-七段译码/驱动器

74ls249 4线-七段译码/驱动器

74ls251 8选1数据选择器(三态输出)

74ls253 双四选1数据选择器(三态输出)

74ls256 双四位可寻址锁存器

74ls257 四2选1数据选择器(三态输出)

74ls258 四2选1数据选择器(反码三态输出)

74ls259 8为可寻址锁存器

74ls260 双5输入或非门

74ls261 4*2并行二进制乘法器

74ls265 四互补输出元件

74ls266 2输入四异或非门(oc)

74ls270 2048位rom (512位四字节,oc)

74ls271 2048位rom (256位八字节,oc)

74ls273 八d触发器

74ls274 4*4并行二进制乘法器

74ls275 七位片式华莱士树乘法器

74ls276 四jk触发器

74ls278 四位可级联优先寄存器

74ls279 四s-r锁存器

74ls280 9位奇数/偶数奇偶发生器/较验器

74ls281

74ls283 4位二进制全加器

74ls290 十进制计数器

74ls291 32位可编程模

74ls293 4位二进制计数器

74ls294 16位可编程模

74ls295 四位双向通用移位寄存器

74ls298 四-2输入多路转换器(带选通)

74ls299 八位通用移位寄存器(三态输出)

74ls348 8-3线优先编码器(三态输出)

74ls352 双四选1数据选择器/多路转换器

74ls353 双4-1线数据选择器(三态输出)

74ls354 8输入端多路转换器/数据选择器/寄存器,三态补码输出74ls355 8输入端多路转换器/数据选择器/寄存器,三态补码输出74ls356 8输入端多路转换器/数据选择器/寄存器,三态补码输出74ls357 8输入端多路转换器/数据选择器/寄存器,三态补码输出74ls365 6总线驱动器

74ls367 六同向三态缓冲器/线驱动器

74ls368 六反向三态缓冲器/线驱动器

74ls373 八d锁存器

74ls374 八d触发器(三态同相)

74ls375 4位双稳态锁存器

74ls377 带使能的八d触发器

74ls378 六d触发器

74ls379 四d触发器

74ls381 算术逻辑单元/函数发生器

74ls382 算术逻辑单元/函数发生器

74ls384 8位*1位补码乘法器

74ls385 四串行加法器/乘法器

74ls386 2输入四异或门

74ls390 双十进制计数器

74ls391 双四位二进制计数器

74ls395 4位通用移位寄存器

74ls396 八位存储寄存器

74ls398 四2输入端多路开关(双路输出)

74ls399 四-2输入多路转换器(带选通)

74ls422 单稳态触发器

74ls423 双单稳态触发器

74ls440 四3方向总线收发器,集电极开路

74ls441 四3方向总线收发器,集电极开路

74ls442 四3方向总线收发器,三态输出

74ls443 四3方向总线收发器,三态输出

74ls444 四3方向总线收发器,三态输出

74ls445 bcd-十进制译码器/驱动器,三态输出74ls446 有方向控制的双总线收发器

74ls448 四3方向总线收发器,三态输出

74ls449 有方向控制的双总线收发器

74ls465 八三态线缓冲器

74ls466 八三态线反向缓冲器

74ls467 八三态线缓冲器

74ls468 八三态线反向缓冲器

74ls490 双十进制计数器

74ls540 八位三态总线缓冲器(反向)

74ls541 八位三态总线缓冲器

74ls589 有输入锁存的并入串出移位寄存器

74ls590 带输出寄存器的8位二进制计数器

74ls591 带输出寄存器的8位二进制计数器

74ls592 带输出寄存器的8位二进制计数器

74ls593 带输出寄存器的8位二进制计数器

74ls594 带输出锁存的8位串入并出移位寄存器

74ls595 8位输出锁存移位寄存器

74ls596 带输出锁存的8位串入并出移位寄存器

74ls597 8位输出锁存移位寄存器

74ls598 带输入锁存的并入串出移位寄存器

74ls599 带输出锁存的8位串入并出移位寄存器

74ls604 双8位锁存器

74ls605 双8位锁存器

74ls606 双8位锁存器

74ls607 双8位锁存器

74ls620 8位三态总线发送接收器(反相)

74ls621 8位总线收发器

74ls622 8位总线收发器

74ls623 8位总线收发器

74ls640 反相总线收发器(三态输出)

74ls641 同相8总线收发器,集电极开路

74ls642 同相8总线收发器,集电极开路

74ls643 8位三态总线发送接收器

74ls644 真值反相8总线收发器,集电极开路

74ls645 三态同相8总线收发器

74ls646 八位总线收发器,寄存器

74ls647 八位总线收发器,寄存器

74ls648 八位总线收发器,寄存器

74ls649 八位总线收发器,寄存器

74ls651 三态反相8总线收发器

74ls652 三态反相8总线收发器

74ls653 反相8总线收发器,集电极开路

74ls654 同相8总线收发器,集电极开路

74ls668 4位同步加/减十进制计数器

74ls669 带先行进位的4位同步二进制可逆计数器

74ls670 4*4寄存器堆(三态)

74ls671 带输出寄存的四位并入并出移位寄存器

74ls672 带输出寄存的四位并入并出移位寄存器

74ls673 16位并行输出存储器,16位串入串出移位寄存器

74ls674 16位并行输入串行输出移位寄存器

74ls681 4位并行二进制累加器

74ls682 8位数值比较器(图腾柱输出)

74ls683 8位数值比较器(集电极开路)

74ls684 8位数值比较器(图腾柱输出)

74ls685 8位数值比较器(集电极开路)

74ls686 8位数值比较器(图腾柱输出)

74ls687 8位数值比较器(集电极开路)

74ls688 8位数字比较器(oc输出)

74ls689 8位数字比较器

74ls690 同步十进制计数器/寄存器(带数选,三态输出,直接清除)

74ls691 计数器/寄存器(带多转换,三态输出)

74ls692 同步十进制计数器(带预置输入,同步清除)

74ls693 计数器/寄存器(带多转换,三态输出)

74ls696 同步加/减十进制计数器/寄存器(带数选,三态输出,直接清除)

74ls697 计数器/寄存器(带多转换,三态输出)

74ls698 计数器/寄存器(带多转换,三态输出)

74ls699 计数器/寄存器(带多转换,三态输出)

74ls716 可编程模n十进制计数器

74ls718 可编程模n十进制计数

逻辑电平简介

逻辑电平有:TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVDS、GTL、BTL、ETL、GTLP;RS232、R S422、RS485等。

1:常用逻辑系列器件

TTL:Transistor-Transistor Logic

CMOS:Complementary Metal Oxide Semicondutor

LVTTL:Low Voltage TTL

LVCMOS:Low Voltage CMOS

ECL:Emitter Coupled Logic,

PECL:Pseudo/Positive Emitter Coupled Logic LVDS:Low Voltage Differential Signaling

GTL:Gunning Transceiver Logic

BTL: Backplane Transceiver Logic

ETL: enhanced transceiver logic

GTLP:Gunning Transceiver Logic Plus

TI的逻辑器件系列有:74、74HC、74AC、74LVC、74LVT等S - Schottky Logic

LS - Low-Power Schottky Logic

CD4000 - CMOS Logic 4000

AS - Advanced Schottky Logic

74F - Fast Logic

ALS - Advanced Low-Power Schottky Logic

HC/HCT - High-Speed CMOS Logic

BCT - BiCMOS Technology

AC/ACT - Advanced CMOS Logic

FCT - Fast CMOS Technology

ABT - Advanced BiCMOS Technology

74LS系列芯片引脚图资料大全

74系列芯片引脚图资料大全 作者:佚名来源:本站原创点击数:57276 更新时间:2007年07月26日【字体:大中小】 为了方便大家我收集了下列74系列芯片的引脚图资料,如还有需要请上电子论坛https://www.sodocs.net/doc/3812918734.html,/b bs/ 反相器驱动器LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS245 与门与非门LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38 或门或非门与或非门LS02 LS32 LS51 LS64 LS65 异或门比较器LS86 译码器LS138 LS139 寄存器LS74 LS175 LS373

反相器: Vcc 6A 6Y 5A 5Y 4A 4Y 六非门 74LS04 ┌┴—┴—┴—┴—┴—┴—┴┐六非门(OC门) 74LS05 _ │14 13 12 11 10 9 8│六非门(OC高压输出) 74LS06 Y = A )│ │ 1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1Y 2A 2Y 3A 3Y GND 驱动器: Vcc 6A 6Y 5A 5Y 4A 4Y ┌┴—┴—┴—┴—┴—┴—┴┐ │14 13 12 11 10 9 8│ Y = A )│六驱动器(OC高压输出) 74LS07 │ 1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1Y 2A 2Y 3A 3Y GND Vcc -4C 4A 4Y -3C 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ _ │14 13 12 11 10 9 8│ Y =A+C )│四总线三态门74LS125 │ 1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ -1C 1A 1Y -2C 2A 2Y GND

74系列芯片引脚图

74系列芯片引脚图、功能、名称、资料大全(含74LS、74HC等),特别推荐为了方便大家,我收集了下列74系列芯片的引脚图资料。 说明:本资料分3部分:(一)、TXT文档,(二)、图片,(三)、功能、名称、资料。 (一)、TXT文档 反相器驱动器LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS245 与门与非门LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38 或门或非门与或非门 LS02 LS32 LS51 LS64 LS65 异或门比较器LS86 译码器LS138 LS139 寄存器LS74 LS175 LS373

反相器: Vcc 6A 6Y 5A 5Y 4A 4Y 六非门 74LS04 ┌┴—┴—┴—┴—┴—┴—┴┐六非门(OC门) 74LS05 _ │14 13 12 11 10 9 8│六非门(OC高压输出) 74LS06 Y = A )│ │ 1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1Y 2A 2Y 3A 3Y GND 驱动器: Vcc 6A 6Y 5A 5Y 4A 4Y ┌┴—┴—┴—┴—┴—┴—┴┐ │14 13 12 11 10 9 8│ Y = A )│六驱动器(OC高压输出) 74LS07 │ 1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘

1A 1Y 2A 2Y 3A 3Y GND Vcc -4C 4A 4Y -3C 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ _ │14 13 12 11 10 9 8│ Y =A+C )│四总线三态门 74LS125 │ 1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ -1C 1A 1Y -2C 2A 2Y GND Vcc -G B1 B2 B3 B4 B8 B6 B7 B8 ┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐ 8位总线驱动器 74LS245 │20 19 18 17 16 15 14 13 12 11│ )│ DIR=1 A=>B │ 1 2 3 4 5 6 7 8 9 10│ DIR=0 B=>A └┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘ DIR A1 A2 A3 A4 A5 A6 A7 A8 GND

及其他系列芯片引脚图大全

一:分类 74ls00 2输入四与非门 74ls01 2输入四与非门 (oc) 74ls02 2输入四或非门 74ls03 2输入四与非门 (oc) 74ls04 六倒相器 74ls05 六倒相器(oc) 74ls06 六高压输出反相缓冲器/驱动器(oc,30v) 74ls07 六高压输出缓冲器/驱动器(oc,30v) 74ls08 2输入四与门 74ls09 2输入四与门(oc) 74ls10 3输入三与非门 74ls11 3输入三与门 74ls12 3输入三与非门 (oc) 74ls13 4输入双与非门 (斯密特触发) 74ls14 六倒相器(斯密特触发) 74ls15 3输入三与门 (oc) 74ls16 六高压输出反相缓冲器/驱动器(oc,15v) 74ls17 六高压输出缓冲器/驱动器(oc,15v) 74ls18 4输入双与非门 (斯密特触发) 74ls19 六倒相器(斯密特触发) 74ls20 4输入双与非门 74ls21 4输入双与门 74ls22 4输入双与非门(oc) 74ls23 双可扩展的输入或非门 74ls24 2输入四与非门(斯密特触发)

74ls25 4输入双或非门(有选通) 74ls26 2输入四高电平接口与非缓冲器(oc,15v) 74ls27 3输入三或非门 74ls28 2输入四或非缓冲器 74ls30 8输入与非门 74ls31 延迟电路 74ls32 2输入四或门 74ls33 2输入四或非缓冲器(集电极开路输出) 74ls34 六缓冲器 74ls35 六缓冲器(oc) 74ls36 2输入四或非门(有选通) 74ls37 2输入四与非缓冲器 74ls38 2输入四或非缓冲器(集电极开路输出74ls39 2输入四或非缓 冲器(集电极开路输出) 7 4ls40 4输入双与非缓冲器 7 4ls41 bcd-十进制计数器 7 4ls42 4线-10线译码器(bcd输入) 7 4ls43 4线-10线译码器(余3码输 入) 7 4ls44 4线-10线译码器(余3葛莱 码输入) 7 4ls45 bcd-十进制译码器/驱动器 7 4ls46 bcd-七段译码器/驱动器

74系列芯片引脚大全

74系列芯片引脚图资料大全(2008-04-24 17:37:47) 74系列芯片引脚图资料大全 反相器驱动器LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS245 与门与非门LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38 或门或非门与或非门LS02 LS32 LS51 LS64 LS65 异或门比较器LS86 译码器LS138 LS139 寄存器LS74 LS175 LS373 反相器: Vcc 6A 6Y 5A 5Y 4A 4Y 六非门74LS04 ┌┴—┴—┴—┴—┴—┴—┴┐六非门(OC门) 74LS05 _ │14 13 12 11 10 9 8│六非门(OC高压输出) 74LS06 Y = A )│ │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1Y 2A 2Y 3A 3Y GND 驱动器: Vcc 6A 6Y 5A 5Y 4A 4Y ┌┴—┴—┴—┴—┴—┴—┴┐ │14 13 12 11 10 9 8│ Y = A )│六驱动器(OC高压输出) 74LS07 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1Y 2A 2Y 3A 3Y GND Vcc -4C 4A 4Y -3C 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ _ │14 13 12 11 10 9 8│ Y =A+C )│四总线三态门74LS125 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ -1C 1A 1Y -2C 2A 2Y GND Vcc -G B1 B2 B3 B4 B8 B6 B7 B8 ┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐8位总线驱动器74LS245 │20 19 18 17 16 15 14 13 12 11│ )│DIR=1 A=>B │1 2 3 4 5 6 7 8 9 10│DIR=0 B=>A └┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘ DIR A1 A2 A3 A4 A5 A6 A7 A8 GND 页首非门,驱动器与门,与非门或门,或非门异或门,比较器译码器寄存器 正逻辑与门,与非门:

74系列芯片-名字对照表

74LS系列: 74LS00 TTL 2输入端四与非门 74LS01 TTL 集电极开路2输入端四与非门 74LS02 TTL 2输入端四或非门 74LS04 TTL 六反相器 74LS08 TTL 2输入端四与门 74LS10 TTL 3输入端3与非门 74LS112 TTL 带预置清除负触发双J-K触发器 74LS122 TTL 可再触发单稳态多谐振荡器 74LS138 TTL 3-8线译码器/复工器 74LS14 TTL 六反相施密特触发器 74LS151 TTL 8选1数据选择器 74LS153 TTL 双4选1数据选择器 74LS154 TTL 4线—16线译码器 74LS160 TTL 可预置BCD异步清除计数器 74LS161 TTL 可予制四位二进制异步清除计数器74LS166 TTL 八位并入/串出移位寄存器 74LS192 TTL 可预置BCD双时钟可逆计数器 74LS193 TTL 可预置四位二进制双时钟可逆计数器74LS194 TTL 四位双向通用移位寄存器 74LS20 TTL 4输入端双与非门 74LS21 TTL 4输入端双与门 74LS273 TTL 带公共时钟复位八D触发器 74LS30 TTL 8输入端与非门 74LS32 TTL 2输入端四或门 74LS42 TTL BCD—十进制代码译码器 74LS47 TTL BCD—7段高有效译码/驱动器 74LS48 TTL BCD—7段译码器/内部上拉输出驱动74LS51 TTL 2-3/2-2输入端双与或非门 74LS74 TTL 带置位复位正触发双D触发器 74LS76 TTL 带预置清除双J-K触发器 74LS85 TTL 四位数字比较器 74LS86 TTL 2输入端四异或门 74LS90 TTL 可二/五分频十进制计数器

74系列元件引脚图

反相器驱动器LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS24 5 与门与非门LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38 或门或非门与或非门LS02 LS32 LS51 LS64 LS65 异或门比较器LS86 译码器LS138 LS139 寄存器LS74 LS175 LS373 反相器: Vcc 6A 6Y 5A 5Y 4A 4Y 六非门 74LS04 ┌┴—┴—┴—┴—┴—┴—┴┐六非门(OC门) 74LS05 _ │14 13 12 11 10 9 8│六非门(OC高压输出) 74LS06 Y = A )│ │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1Y 2A 2Y 3A 3Y GND 驱动器: Vcc 6A 6Y 5A 5Y 4A 4Y ┌┴—┴—┴—┴—┴—┴—┴┐ │14 13 12 11 10 9 8│ Y = A )│六驱动器(OC高压输出) 74LS07 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1Y 2A 2Y 3A 3Y GND Vcc -4C 4A 4Y -3C 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ _ │14 13 12 11 10 9 8│

Y =A+C )│四总线三态门74LS125 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ -1C 1A 1Y -2C 2A 2Y GND Vcc -G B1 B2 B3 B4 B8 B6 B7 B8 ┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐ 8位总线驱动器74LS245 │20 19 18 17 16 15 14 13 12 11│ )│ DIR=1 A=>B │1 2 3 4 5 6 7 8 9 10│ DIR=0 B=>A └┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘ DIR A1 A2 A3 A4 A5 A6 A7 A8 GND 页首非门,驱动器与门,与非门或门,或非门异或门,比较器译码器寄存器 正逻辑与门,与非门: Vcc 4B 4A 4Y 3B 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ │14 13 12 11 10 9 8│ Y = AB )│ 2输入四正与门74LS08 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1B 1Y 2A 2B 2Y GND Vcc 4B 4A 4Y 3B 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ __ │14 13 12 11 10 9 8│ Y = AB )│ 2输入四正与非门74LS00 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1B 1Y 2A 2B 2Y GND Vcc 1C 1Y 3C 3B 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ ___ │14 13 12 11 10 9 8│ Y = ABC )│ 3输入三正与非门74LS10 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1B 2A 2B 2C 2Y GND

74系列型号功能对应表

2254779428363 shiliu 瀚海星云 - 文章阅读 讨论区:Robot_Technic 版主: thrian chenjiex ilawp 发信人: shiliu (石柳), 信区: Robot_Technic 标 题: Re: 校内有没有电子元件手册下载 发信站: 瀚海星云 (2004年08月11日13:45:23 星期三), 站内信件 WWWPOST https://www.sodocs.net/doc/3812918734.html,/web/ic1.htm 74LS SN74LSOO 四2输入与非门 SN74LSO1 四2输入与非门 SN74LSO2 四2输入与非门 SN74LS03 四2输入与非门 SN74LS04 六反相器 SN74LS05 六反相器 SN74LS06 六反相缓冲器/驱动器 SN74LS07 六缓冲器/驱动器 SN74LS08 四2输入与非门 SN74LS09 四2输入与非门 SN74LS10 三3输入与非门 SN74LS11 三3输入与非门 SN74LS12 三3输入与非门 SN74LS13 三3输入与非门 SN74LS14 六反相器.斯密特触发 SN74LS15 三3输入与非门 SN74LS16 六反相缓冲器/驱动器 SN74LS17 六反相缓冲器/驱动器 SN74LS20 双4输入与门 SN74LS21 双4输入与门 SN74LS22 双4输入与门 SN74LS25 双4输入与门 SN74LS26 四2输入与非门 SN74LS27 三3输入与非门 SN74LS28 四输入端或非缓冲器 SN74LS30 八输入端与非门 SN74LS32 四2输入或门 SN74LS33 四2输入或门 SN74LS37 四输入端与非缓冲器 SN74LS38 双2输入与非缓冲器 SN74LS40 四输入端与非缓冲器 SN74LS42 BCD -十进制译码器 SN74LS47 BCD -七段译码驱动器 SN74LS48 BCD -七段译码驱动器 SN74LS49 BCD -七段译码驱动器 SN74LS51 三3输入双与或非门 SN74LS54 四输入与或非门 SN74LS55 四4输入与或非门 SN74LS63 六电流读出接口门 SN74LS73 双J -K 触发器 SN74LS74 双D 触发器 SN74LS75 4位双稳锁存器 SN74LS76 双J -K 触发器 SN74LS78 双J -K 触发器 SN74LS83 双J -K 触发器 SN74LS85 4位幅度比较器 SN74LS86 四2输入异或门 SN74LS88 4位全加器 SN74LS90 4位十进制波动计数器 SN74LS91 8位移位寄存器 SN74LS92 12分频计数器 SN74LS93 二进制计数器 SN74LS96 5位移位寄存器 SN74LS95 4位并入并出寄存器 SN74LS109 正沿触发双J -K 触发器 SN74LS107 双J -K 触发器 SN74LS113 双J -K 负沿触发器 SN74LS112 双J -K 负沿触发器 SN74LS121 单稳态多谐振荡器 SN74LS114 双J -K 负沿触发器 SN74LS123 双稳态多谐振荡器 SN74LS122 单稳态多谐振荡器 SN74LS125 三态缓冲器 SN74LS124 双压控振荡器 SN74LS131 3-8线译码器 SN74LS126 四3态总线缓冲器 SN74LS133 13输入与非门 SN74LS132 二输入与非触发器 SN74LS137 地址锁存3-8线译码器 SN74LS136 四异或门 SN74LS139 双2-4线译码-转换器 SN74LS138 3-8线译码/转换器 SN74LS147 10-4线优先编码器 SN74LS145 BCD 十进制译码/驱动器 SN74LS153 双4选1数据选择器 SN74LS148 8-3线优先编码器 SN74LS155 双2-4线多路分配器 SN74LS151 8选1数据选择器 SN74LS157 四2选1数据选择器 SN74LS154 4-16线多路分配器 SN74LS160 同步BDC 十进制计数器 SN74LS156 双2-4线多路分配器 SN74LS162 同步BDC 十进制计数器 SN74LS158 四2选1数据选择器 SN74LS164 8位串入并出移位寄存 SN74LS161 4位二进制计数器

74系列功能表

7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器7407 TTL 集电极开路六正相高压驱动器7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发器 74109 TTL 带预置清除正触发双J-K触发器7411 TTL 3输入端3与门 74112 TTL 带预置清除负触发双J-K触发器7412 TTL 开路输出3输入端三与非门 74121 TTL 单稳态多谐振荡器 74122 TTL 可再触发单稳态多谐振荡器74123 TTL 双可再触发单稳态多谐振荡器74125 TTL 三态输出高有效四总线缓冲门74126 TTL 三态输出低有效四总线缓冲门7413 TTL 4输入端双与非施密特触发器74132 TTL 2输入端四与非施密特触发器74133 TTL 13输入端与非门 74136 TTL 四异或门 74138 TTL 3-8线译码器/复工器 74139 TTL 双2-4线译码器/复工器 7414 TTL 六反相施密特触发器 74145 TTL BCD—十进制译码/驱动器 7415 TTL 开路输出3输入端三与门 74150 TTL 16选1数据选择/多路开关 74151 TTL 8选1数据选择器 74153 TTL 双4选1数据选择器 74154 TTL 4线—16线译码器 74155 TTL 图腾柱输出译码器/分配器 74156 TTL 开路输出译码器/分配器 74157 TTL 同相输出四2选1数据选择器74158 TTL 反相输出四2选1数据选择器7416 TTL 开路输出六反相缓冲/驱动器74160 TTL 可预置BCD异步清除计数器74161 TTL 可予制四位二进制异步清除计数器74162 TTL 可预置BCD同步清除计数器74163 TTL 可予制四位二进制同步清除计数器74164 TTL 八位串行入/并行输出移位寄存器

74ls系列主要芯片引脚及参数.doc

<74LS00引脚图> 74l s00 是常用的2输入四与非门集成电路,他的作用很简单顾名思义就是实现一个与非门。 Vcc 4B 4A 4Y 3B 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ __ │14 13 12 11 10 9 8│ Y = AB )│ 2输入四正与非门 74LS00 │ 1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1B 1Y 2A 2B 2Y GND 74LS00真值表: A=1 B=1 Y=0 A=0 B=1 Y=1 A=1 B=0 Y=1 A=0 B=0 Y=1

74HC138基本功能74LS138 为3 线-8 线译码器,共有54/74S138和54/74LS138 两种线路结构型式,其74LS138工作原理如下: 当一个选通端(G1)为高电平,另两个选通端(/(G2A)和/(G2B))为低电平时,可将地址端(A、B、C)的二进制编码在一个对应的输出端以低电平译出。 74LS138的作用: 利用G1、/(G2A)和/(G2B)可级联扩展成24 线译码器;若外接一个反相器还可级联扩展成32 线译码器。若将选通端中的一个作为数据输入端时,74LS138还可作数据分配器 用与非门组成的3线-8线译码器74LS138

图74ls138译码器内部电路 3线-8线译码器74LS138的功能表 备注:这里的输入端的三个A0~1有的原理图中也用A B C表示(如74H138.pdf中所示,试用于普中科技的HC-6800 V2.2单片机开发板)。<74ls138功能表> 74LS138逻辑图

无论从逻辑图还是功能表我们都可以看到74LS138的八个输出管脚,任何时刻要么全为高电平1—芯片处于不工作状态,要么只有一个为低电平0,其余7个输出管脚全为高电平1。如果出现两个输出管脚在同一个时间为0的情况,说明该芯片已经损坏。 当附加控制门的输出为高电平(S=1)时,可由逻辑图写出 74ls138逻辑图 由上式可以看出,在同一个时间又是这三个变量的全部最小项的译码输出,所以也把这种译码器叫做最小项译码器。 71LS138有三个附加的控制端、和。当、时,输出为高电平(S=1),译码器处于工作状态。否则,译码器被禁止,所有的输出端被封锁在高电平,如表3.3.5所示。这三个控制端也叫做“片选”输入端,利用片选的作用可以将多篇连接起来以扩展译码器的功能。 带控制输入端的译码器又是一个完整的数据分配器。在图3.3.8电路中如果把作为“数据”输入端(在同一个时间),而将作为“地址”输入端,那么从送来的数据只能通过所指定的一根输出线送出去。这就不难理解为什么把叫做地址输入了。例如当=101时,门的输入端除了接至输出端的一个以外全是高电平,因此的数据以反码的形式从输出,而不会被送到其他任何一个输出端上。 例2.74LS138 3-8译码器的各输入端的连接情况及第六脚()输入信号A的波形如下图所示。试画出八个输出管脚的波形。

74系列门电路

为了方便大家我收集了下列74系列芯片的引脚图资料,如还有需要请上电子论坛http://ww https://www.sodocs.net/doc/3812918734.html,/bbs/ 反相器驱动器LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS245 与门与非门LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38 或门或非门与或非门LS02 LS32 LS51 LS64 LS65 异或门比较器LS86 译码器LS138 LS139 寄存器LS74 LS175 LS373 反相器: Vcc 6A 6Y 5A 5Y 4A 4Y 六非门 74LS04 ┌┴—┴—┴—┴—┴—┴—┴┐六非门(OC门) 74LS05 _ │14 13 12 11 10 9 8│六非门(OC高压输出) 74LS06 Y = A )│ │ 1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1Y 2A 2Y 3A 3Y GND 驱动器:

Vcc 6A 6Y 5A 5Y 4A 4Y ┌┴—┴—┴—┴—┴—┴—┴┐ │14 13 12 11 10 9 8│ Y = A )│六驱动器(OC高压输出) 74LS07 │ 1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1Y 2A 2Y 3A 3Y GND Vcc -4C 4A 4Y -3C 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ _ │14 13 12 11 10 9 8│ Y =A+C )│四总线三态门74LS125 │ 1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ -1C 1A 1Y -2C 2A 2Y GND Vcc -G B1 B2 B3 B4 B8 B6 B7 B8 ┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐ 8位总线驱动器74LS245 │20 19 18 17 16 15 14 13 12 11│ )│ DIR=1 A=>B │ 1 2 3 4 5 6 7 8 9 10│ DIR=0 B=>A └┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘ DIR A1 A2 A3 A4 A5 A6 A7 A8 GND 页首非门,驱动器与门,与非门或门,或非门异或门,比较器译码器寄存器正逻辑与门,与非门:

简介74系列器件

74系列器件是德州仪器公司生产的中小规模TTL集成电路芯片主要运用于计算机数据的输入,输出以及其他如放大锁存等处理,工作温度在0到70摄氏度之间,从功能,速度分类有一下几类 芯片 TTL类型VOH 传递延迟功耗 74XXX——标准TTL 2.4V 9ns 10mW 74LXXX——低功耗TTL 2.4V 33ns 1mW 74HXXX——高速型TTL 2.4V 6ns 23mW 74SXXX——肖特基型TTL 2.7V 3ns 23mW 74LSXXX——低功耗肖特基型TTL 2.7V 9.5ns 2mW 74ASXXX——高肖特基型TTL 3.0V 1.5ns 8mW 74ALSXXX——高肖特基低功耗型TTL 3.0V 4ns 1.2mW 相同编号不同类型的芯片的逻辑功能完全相同 74系列芯片总汇全集,有20多M的74系列芯片资料介绍及74系列芯片的PDF资料。 7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发器 74109 TTL 带预置清除正触发双J-K触发器 7411 TTL 3输入端3与门 74112 TTL 带预置清除负触发双J-K触发器 7412 TTL 开路输出3输入端三与非门 74121 TTL 单稳态多谐振荡器 74122 TTL 可再触发单稳态多谐振荡器 74123 TTL 双可再触发单稳态多谐振荡器 74125 TTL 三态输出高有效四总线缓冲门 74126 TTL 三态输出低有效四总线缓冲门 7413 TTL 4输入端双与非施密特触发器 74132 TTL 2输入端四与非施密特触发器 74133 TTL 13输入端与非门

51单片机常用芯片引脚图

常用芯片引脚图 一、单片机类 1、MCS-51 芯片介绍:MCS-51系列单片机是美国Intel公司开发的8位单片机,又可以分为多个子系列。 MCS-51系列单片机共有40条引脚,包括32 条I/O接口引脚、4条控制引脚、2条电源引 脚、2条时钟引脚。 引脚说明: P0.0~P0.7:P0口8位口线,第一功能作为 通用I/O接口,第二功能作为存储器扩展时 的地址/数据复用口。 P1.0~P1.7:P1口8位口线,通用I/O接口 无第二功能。 P2.0~P2.7:P2口8位口线,第一功能作为 通用I/O接口,第二功能作为存储器扩展时 传送高8位地址。 P3.0~P3.7:P3口8位口线,第一功能作为 通用I/O接口,第二功能作为为单片机的控 制信号。 ALE/ PROG:地址锁存允许/编程脉冲输入信号线(输出信号) PSEN:片外程序存储器开发信号引脚(输出信号) EA/Vpp:片外程序存储器使用信号引脚/编程电源输入引脚 RST/VPD:复位/备用电源引脚 2、MCS-96 芯片介绍:MCS-96系列单片机是美国Intel公司继MCS-51系列单片机之后推出的16位单 片机系列。它含有比较丰富的软、硬件 资源,适用于要求较高的实时控制场合。 它分为48引脚和68引脚两种,以48引 脚居多。 引脚说明: RXD/P2.1 TXD/P2.0:串行数据传出分发 送和接受引脚,同时也作为P2口的两条 口线 HS1.0~HS1.3:高速输入器的输入端 HS0.0~HS0.5:高速输出器的输出端(有 两个和HS1共用) Vcc:主电源引脚(+5V) Vss:数字电路地引脚(0V) Vpd:内部RAM备用电源引脚(+5V) V REF:A/D转换器基准电源引脚(+5V) AGND:A/D转换器参考地引脚P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RST RXD/P3.0 TXD/P3.1 INT0/P3.2 INT1/P3.3 T0/P3.4 T1/P3.5 WR/P3.6 RD/P3.7 XTAL2 XTAL1 V SS

74ls系列芯片引脚

74 系列芯片的引脚图 [日期:2008-12-29 ] [来源:net 作者:佚名] [字体:大中小] (投递新闻) 反相器驱动器LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS245 与门与非门LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38 或门或非门与或非门LS02 LS32 LS51 LS64 LS65 异或门比较器LS86 译码器LS138 LS139 寄存器LS74 LS175 LS373 反相器: Vcc 6A6Y5A5Y4A4Y六非门74LS04 ┌┴—┴—┴—┴—┴—┴—┴┐六非门(OC门) 74LS05 _ │14 13 12 11 10 9 8│六非门(OC高压输出) 74LS06 Y = A)│ │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A1Y2A2Y3A3Y GND 驱动器: Vcc 6A6Y5A5Y4A4Y ┌┴—┴—┴—┴—┴—┴—┴┐ │14 13 12 11 10 9 8│ Y = A)│六驱动器(OC高压输出) 74LS07 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A1Y2A2Y3A3Y GND Vcc -4C 4A4Y -3C 3A3Y ┌┴—┴—┴—┴—┴—┴—┴┐ _ │14 13 12 11 10 9 8│ Y =A+C )│四总线三态门74LS125 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘

-1C 1A1Y -2C 2A2Y GND Vcc -G B1 B2 B3 B4 B8 B6 B7 B8 ┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐8位总线驱动器74LS245 │20 19 18 17 16 15 14 13 12 11│ )│DIR=1 A=>B │ 1 2 3 4 5 6 7 8 9 10│DIR=0 B=>A └┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘ DIR A1 A2 A3 A4 A5 A6 A7 A8 GND 页首非门,驱动器与门,与非门或门,或非门异或门,比较器译码器寄存器 正逻辑与门,与非门: Vcc 4B 4A4Y3B 3A3Y ┌┴—┴—┴—┴—┴—┴—┴┐ │14 13 12 11 10 9 8│ Y = AB )│2输入四正与门74LS08 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A1B 1Y2A2B 2Y GND Vcc 4B 4A4Y3B 3A3Y ┌┴—┴—┴—┴—┴—┴—┴┐ __ │14 13 12 11 10 9 8│ Y = AB )│2输入四正与非门74LS00 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A1B 1Y2A2B 2Y GND Vcc 1C 1Y3C 3B 3A3Y ┌┴—┴—┴—┴—┴—┴—┴┐ ___ │14 13 12 11 10 9 8│ Y = ABC )│3输入三正与非门74LS10 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A1B 2A2B 2C 2Y GND Vcc H G Y ┌┴—┴—┴—┴—┴—┴—┴┐ │14 13 12 11 10 9 8│ )│8输入与非门74LS30 │1 2 3 4 5 6 7│________

各种元器件引脚图

74LS86 异或门74LS00 与非门 74LS02 或非门74LS11 三输入端与门 74LS90功能:十进制计数器(÷2 和÷5)

原理说明:本电路是由4 个主从触发器和用作除2 计数器及计数周期长度为除5 的3 位2 进制计数器所用的附加选通所组成。有选通的零复位和置9 输入。 为了利用本计数器的最大计数长度(十进制),可将B 输入同QA 输出连接,输入计数脉冲可加到输入A 上,此时输出就如相应的功能表上所要求的那样。 LS90 可以获得对称的十分频计数,办法是将QD 输出接到A 输入端,并把输入计数脉冲加到B 输入端,在QA 输出端处产生对称的十分频方波。 真值表: H=高电平 L=低电平×=不定 BCD 计数顺序(注1)

5-2 进制计数顺序(注2)

注1:对于BCD(十进)计数,输出QA 连到输入B 计数 注2:对于5-2 进制计数,输出QD 连到输入A 计数 74LS14 非门大部分情况下可以和74LS04非门通用 74LS161 四位二进制同步加法计数器 74LS161是常用的四位二进制可预置的同步加法计数器,他可以灵活的运用在各种数字电路,以及单片机系统种实现分频器等很多重要的功能,这里我给大家介绍一下他的资料: 74LS161 pdf 资料下载:https://www.sodocs.net/doc/3812918734.html,/view.jsp?Searchword=74LS161

74ls161引脚图 管脚图介绍: 时钟CP和四个数据输入端P0~P3 清零/MR 使能CEP,CET 置数PE 数据输出端Q0~Q3 以及进位输出TC. (TC=Q0·Q1·Q2·Q3·CET)

74系列芯片的引脚图资料

为了方便大家我收集了下列 74 系列芯片的引脚图资料,如还有需要请上电子论>坛> 推htt荐p:文//w章https://www.sodocs.net/doc/3812918734.html,/b
bs/
74 系列芯片引脚图资料大全
反相器 驱动器
LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS245
与门 与非门
LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38
或门 或非门 与或非门 LS02 LS32 LS51 LS64 LS65
异或门 比较器 译码器 寄存器
LS86 LS138 LS139 LS74 LS175 LS373
反相器:
Vcc 6A 6Y 5A 5Y 4A 4Y 六非门 74LS04
┌┴—┴—┴—┴—┴—┴—┴┐ 六非门(OC 门) 74LS05
_ │14 13 12 11 10 9 8│ 六非门(OC 高压输出) 74LS06
Y=A )

│ 1 2 3 4 5 6 7│
└┬—┬—┬—┬—┬—┬—┬┘
1A 1Y 2A 2Y 3A 3Y GND
>> 阅读排行 lm358 pdf 应用电路资料及引 74 系列芯片引脚图资料大全 9014,9013,8050 三极管引脚图 max232 引脚图及 RS232 引脚
定 七段数码管引脚图 三极管 8550 参数管脚图 pdf 资 显示器 VGA 接口定义 LM324 引脚图资料与电路应
用 OP07,ua741 引脚图与资料 74ls48 引脚图管脚功能表
>> 相关文章
驱动器:

特全的74系列引脚

74系列芯片名称及解释和引脚图 7400、74H00、74L00、74LS00、74S00、74HC00、74C00、74F00、74ALS00四2输入与非门 Y=\AB。 7401、74LS01、74HC01、74ALS01四2输入与非门(OC) Y=\AB。 7402、74L02、74LS02、74S02、74HC02、74C02、74ALS02、74F02四2输入或非门。 Y=/A+B。 7403、74L03、74LS03、74ALS03、74S03、74HC03 7404、74H04、74L04、74S04、74HC04、74C04、74F04、74ALS04六反相器 Y=/A。 7405、74H05、74LS05、74S05、74HC05、74F05、74ALS05六反相器(OC) Y=/A。 7406、74LS06六反相缓冲器/驱动器(OC、高压输出) Y=/A;是7405高耐压输出型,耐压30V。 7407、74LS07、74HC07六缓冲器/驱动器(OC、高压输出) Y=A; 30V耐高压输出。 7408、74LS08、74F08、74ALS08、74S08、74HC08、74C08四2输入与门 Y=AB。 7409、74LS09、74F09、74ALS09、74S09、74HC09四2输入与门(OC) Y=AB。 7410、74H10、74L10、74LS10、74ALS10、74S10、74HC10、74C10 74H11、74LS11、74S11、74F11、74ALS11、74HC11三3输入与门 Y=ABC。 7412、74LS12、74ALS12三3输入与非门(OC) Y=\ABC。 7413、74LS13双4输入与非门 Y=\ABCD。 7414、74LS14、74HC14、74C14

常用芯片引脚图

附录三 常用芯片引脚图 一、 单片机类 1、MCS-51 芯片介绍:MCS-51系列单片机是美国Intel 公司开发的8位单片机,又可以分为多个子系列。 MCS-51系列单片机共有40条引脚,包括32 条I/O 接口引脚、4条控制引脚、2条电源引 脚、2条时钟引脚。 引脚说明: P0.0~P0.7:P0口8位口线,第一功能作为通用I/O 接口,第二功能作为存储器扩展时 的地址/数据复用口。 P1.0~P1.7:P1口8位口线,通用I/O 接口无第二功能。 P2.0~P2.7:P2口8位口线,第一功能作为通用I/O 接口,第二功能作为存储器扩展时传送高8位地址。 P3.0~P3.7:P3口8位口线,第一功能作为 通用I/O 接口,第二功能作为为单片机的控 制信号。 ALE/ PROG :地址锁存允许/编程脉冲输入信号线(输出信号) PSEN :片外程序存储器开发信号引脚(输出信号) EA/Vpp :片外程序存储器使用信号引脚/编程电源输入引脚 RST/VPD :复位/备用电源引脚 2、MCS-96 芯片介绍:MCS-96系列单片机是美国Intel 公司继MCS-51系列单片机之后推出的16位单 片机系列。它含有比较丰富的软、硬件 资源,适用于要求较高的实时控制场合。 它分为48引脚和68引脚两种,以48引 脚居多。 引脚说明: RXD/P2.1 TXD/P2.0:串行数据传出分发 送和接受引脚,同时也作为P2口的两条 口线 HS1.0~HS1.3:高速输入器的输入端 HS0.0~HS0.5:高速输出器的输出端(有 两个和HS1共用) Vcc :主电源引脚(+5V ) Vss :数字电路地引脚(0V ) Vpd :内部RAM 备用电源引脚(+5V ) V REF :A/D 转换器基准电源引脚(+5V ) AGND :A/D 转换器参考地引脚 12345678910111213141516171819204039383736353433323130292827262524232221P1.0P1.1P1.2P1.3P1.4P1.5P1.6P1.7RST RXD/P3.0TXD/P3.1INT0/P3.2INT1/P3.3T0/P3.4T1/P3.5WR/P3.6RD/P3.7XTAL2XTAL1V SS V CC P0.0/AD 0P0.1/AD 1 P0.2/AD 2P0.3/AD 3P0.4/AD 4P0.5/AD 5P0.6/AD 6P0.7/AD 7 EA/V PP ALE/PROG PSEN P2.7/A 15P2.6/A 14P2.5/A 13 P2.4/A 12P2.3/A 11P2.2/A 10P2.1/A 9P2.0/A 8803180518751

常用芯片引脚图

. . .. .v .. .. 常用芯片引脚 74LS00数据手册 74LS01数据手册 74LS02数据手册 74LS03数据手册 74LS04数据手册 74LS05数据手册 74LS06数据手册 74LS07数据手册 74LS08数据手册 74LS09数据手册 74LS10数据手册 74LS11数据手册

第2页 共8页 74LS12数据手册 74LS13数据手册 74LS14数据手册 74LS15数据手册 74LS16数据手册 74LS17数据手册 74LS19数据手册 74LS20数据手册 74LS21数据手册 74LS22数据手册 74LS23数据手册 74LS26数据手册 74LS27数据手册 74LS28数据手册

. . .. .v .. .. 74LS30数据手册 74LS32数据手册 74LS33数据手册 74LS37数据手册 74LS38数据手册 74LS40数据手册 74LS42数据手册 [1].要求0—15时,灭灯输入(BI)必须开路或保持高电平,如果不 要灭十进制数零,则动态灭灯输入(RBI)必须开路或为高电平。 [2].将一低电平直接输入BI端,则不管其他输入为何电平,所有的输 出端均输出为低电平。 [3].当动态灭灯输入(RBI)和A,B,C,D输入为低电平而试灯输入为高 电平时,所有输出端都为低电平并且动态灭灯输入(RBO)处于第电 平(响应条件)。 [4].]当灭灯输入/动态灭灯输出(BI/RBO)开朗路或保持高电平而试 灯输入为低电平时,所有各段输出均为高电平。 表中1=高电平,0=低电平。BI/RBO是线与逻辑,作灭灯输入(BI)或 动态灭灯(RBO)之用,或者兼为二者之用。

相关主题