搜档网
当前位置:搜档网 › Cadencespb16.3学习笔记1_原理图

Cadencespb16.3学习笔记1_原理图

Cadencespb16.3学习笔记1_原理图
Cadencespb16.3学习笔记1_原理图

cadence学习笔记1__原理图

打开Design Entry CIS或OrCAD Capture CIS组件,选择OrCAD Capture CIS(不要选择OrCAD Capture,因为少了一些东西),如果勾选了左下角的“Use as default”复选框,下次就不用选择了,如果要使用其他的部分,就在打开后点击File Change Product,会弹出一个“Cadence Product Choices”窗口:

元器件库

原理图

原理图画好后的几个步骤:

1.对所有元器件全部重新编号:

2.检查错误:

3.生成网表:

4.生成元件清单:

5.打印原理图:

实验一 一位二进制全加器设计实验

南昌大学实验报告 学生姓名: 学 号: 专业班级: 中兴101 实验类型:■ 验证 □ 综合 □设计 □ 创新 实验日期: 2012 9 28 实验成绩: 实验一 一位二进制全加器设计实验 一.实验目的 (1)掌握Quartus II 的VHDL 文本设计和原理图输入方法设计全过程; (2)熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果; (3) 熟悉设备和软件,掌握实验操作。 二.实验内容与要求 (1)在利用VHDL 编辑程序实现半加器和或门,再利用原理图连接半加器和或门完成全加器的设计,熟悉层次设计概念; (2)给出此项设计的仿真波形; (3)参照实验板1K100的引脚号,选定和锁定引脚,编程下载,进行硬件测试。 三.设计思路 一个1位全加器可以用两个1位半加器及一个或门连接而成。而一个1位半加器可由基本门电路组成。 (1) 半加器设计原理 能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器。或:只考虑两个一位二进制数的相加,而不考虑来自低位进位数的运算电路,称为半加器。图1为半加器原理图。其中:a 、b 分别为被加数与加数,作为电路的输入端;so 为两数相加产生的本位和,它和两数相加产生的向高位的进位co 一起作为电路的输出。 半加器的真值表为 表1 半加器真值表 由真值表可分别写出和数so ,进位数co 的逻辑函数表达式为: b a b a b a so ⊕=+=- - (1) ab co = (2)

图1半加器原理图 (2) 全加器设计原理 除本位两个数相加外,还要加上从低位来的进位数,称为全加器。图2全加器原理图。全加器的真值表如下: 表2全加器真值表 其中a为加数,b为加数,c为低位向本位的进位,co为本位向高位的进位,so为本位和。 图2.全加器原理图 四.实现方法一:原理图输入法设计(自己独立完成) 1. 建立文件夹 建立自己的文件夹(目录),如c:\myeda,进入Windows操作系统 QuartusII不能识别中文,文件及文件夹名不能用中文。 2. 原理图设计输入 打开Quartus II,选菜单File→New,选择“Device Design File->Block Diagram->Schematic File”项。点击“OK”,在主界面中将打开“Block Editor”窗口。 (1) 放置元件 在原理图编辑窗中的任何一个空白处双击鼠标左键或单击右键,跳出一个选择窗,选择

8位全加器的设计

课程设计报告 课程名称数字逻辑课程设计 课题8位全加器的设计 专业计算机科学与技术 班级1202 学号34 姓名贺义君 指导教师刘洞波陈淑红陈多 2013年12月13日

课程设计任务书 课程名称数字逻辑课程设计 课题8位全加器的设计 专业班级计算机科学与技术1202 学生姓名贺义君 学号34 指导老师刘洞波陈淑红陈多审批刘洞波 任务书下达日期:2013年12月13日 任务完成日期:2014年01月21日

一、设计内容与设计要求 1.设计内容: 本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。 题目一4线-16线译码器电路设计; 题目二16选1选择器电路设计; 题目三4位输入数据的一般数值比较器电路设计 题目四10线-4线优先编码器的设计 题目五8位全加器的设计 题目六RS触发器的设计; 题目七JK触发器的设计; 题目八D触发器的设计; 题目九十进制同步计数器的设计; 题目十T触发器的设计; 每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。 参考书目 1 EDA技术与VHDL程 序开发基础教程 雷伏容,李俊,尹 霞 清华大学出版 社 978-7-302-22 416-7 201 TP312VH/ 36 2 VHDL电路设计雷伏容清华大学出版 社 7-302-14226-2 2006 TN702/185 3 VHDL电路设计技术王道宪贺名臣? 刘伟 国防工业出版 社 7-118-03352-9 2004 TN702/62 4 VHDL 实用技术潘松,王国栋7-8106 5 7-81065-290-7 2000 TP312VH/1 5 VHDL语言100 例详解 北京理工大学A SIC研究所 7-900625 7-900625-02-X 19 99 TP312VH/3 6 VHDL编程与仿真王毅平等人民邮电出版 社 7-115-08641-9 20 00 7 3.9621/W38V 7 VHDL程序设计教程邢建平?曾繁泰清华大学出版 社 7-302-11652-0 200 5 TP312VH/27 /3

一位全加器电路版图设计-11页精选文档

目录 1 绪论 (1) 1.1 设计背景 (1) 1.2 设计目标 (1) 2一位全加器电路原理图编辑 (2) 2.1 一位全加器电路结构 (2) 2.2 一位全加器电路仿真分析波形 (2) 2.3 一位全加器电路的版图绘制 (3) 2.4一位全加器版图电路仿真并分析波形 (3) 2.5 LVS检查匹配 (3) 总结 (4) 参考文献 (4) 附录一:电路原理图网表 (5) 附录二:版图网表 (6)

1 绪论 1.1 设计背景 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。早期的集成电路版图编辑器L-Edit在国内已具有很高的知名度。Tanner EDA Tools 也是在L-Edit的基础上建立起来的。整个设计工具总体上可以归纳为电路设计级和版图设计级两大部分,即以S-Edit为核心的集成电路设计、模拟、验证模块和以L-Edit为核心的集成电路版图编辑与自动布图布线模块。Tanner软件包括S-Edit,T-Spice, L-Edit与LVS[1]。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 1.2 设计目标 1.用tanner软件中的原理图编辑器S-Edit编辑一位全加器电路原理图 2.用tanner软件中的TSpice对一位全加器的电路进行仿真并分析波形 3.用tanner软件中的版图编辑器L-Edit进行一位全加器电路的版图绘制,并进行DRC验证 4.用tanner软件中的TSpice对一位全加器的版图进行仿真并分析波形 5.用tanner软件的layout-Edit中的lvs功能对一位全加器进行LVS检验观察原理图与版图的匹配程度

单片机实验板详细步骤--原理图设计部分

单片机实验板 单片机是电子工程师的基本技能之一,单片机实验板是学习单片机的必备工具之一。通过层次原理图的设计方法,以单片机实验板设计实例介绍Protel DXP的原理图到PCB设计的整个过程。 一、一款单片机实验板简介 经典单片机实验板 单片系统包括MCU组成的最小系统、各种功能的外围电路及接口。 1、89C52单片机。 2、6位数码管(做动态扫描及静态显示实验)。 3、8位LED发光二极管(做流水灯实验)。 4、MAX232芯片RS232通讯接口(可以做为与计算机通迅的接口同时也可做为单片机下载程序的接口)。 5、USB供电系统,直接插接到电脑USB口即可提供电源,不需另接直流电源。 6、蜂鸣器(做单片机发声实验)。 7、ADC0804芯片(做模数转换实验)。 8、DAC0832芯片(做数模转换实验)

9、PDIUSBD12芯片(USB设备开发,如单片机读写U盘,自制U盘,自制MP3等,还可通过此芯片让计算机与单片机传输数据)。 10、USB转串口模块,直接由计算机USB口下载程序至单片机。 11、DS18B20温度传感器,(初步掌握单片机操作后即可亲自编写程序获知当时的温度)。 12、AT24C02外部EEPROM芯片(IIC总线元件实验) 13、字符液晶1602接口。(可显示两行字符) 14、图形液晶12864接口(可显示任意汉字及图形) 15、4*4矩阵键盘另加四个独立键盘(键盘检测试验)。 二、设计任务 采用自底向上(Bottom up)的层次原理图方法绘制单片机实验板原理图及PCB。本实验板主要有CPU部分、电源部分(Power)、串口通信(RS232)部分、数码显示(LED)部分、继电器(Relay)部分、其它(misc)各部分。 同时,通过层次原理图的绘制掌握原理图绘制的众多技巧。 单片机原理图总图 三、子图绘制 下面开始各原理子图的绘制。如【单片机实验板工程】所示,建立单片机实验板工程,建立各个原理图,并把库文件加载到工程里。

实验一1位二进制全加器的设计

龙岩学院实验报告 班级学号姓名同组人 实验日期室温大气压成绩 实验题目:基于原理图输入法的1位二进制全加器的设计 一、实验目的 1、学习、掌握QuartusⅡ开发平台的基本使用。 2、学习基于原理图输入设计法设计数字电路的方法,能用原理图输入设计法 设计1位二进制半加器、1位二进制全加器。 3、学习EDA-V型实验系统的基本使用方法。 二、实验仪器 装有QuartusⅡ软件的计算机一台、EDA系统实验箱、导线若干 三、实验原理 半加器只考虑两个1位二进制数相加,而不考虑低位进位数相加。半加器的逻辑函数 为 式中A和B是两个相加的二进制数,S是半加和,C是向高位的进位数。表1为半加器真值表。 表1 A B C S 0 0 0 0 0 1 0 1 1 0 0 1 1 1 1 0 显然,异或门具有半加器求和的功能,与门具有进位功能。 其逻辑图跟逻辑符号如下图:

全加器除了两个1位二进制数相加以外,还与低位向本位的进位数相加。表2为全加器的真值表。 表2 A i B i C I-1 C i S 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 由真值表可得出逻辑函数式 式中,A i 和B i 是两个相加的1为二进制数,C i-1 是由相邻低位送来的进位数, S I 是本位的全加和,C I 是向相邻高位送出的进位数。其逻辑图跟逻辑符号如下图所示: 四、实验内容 1、根据1位二进制半加器、1位二进制全加器的真值表,设计并画出1位二进制半加器的原理框图,由半加器及门电路设计并画出1位二进制全加器的原理框图(最终设计的是1位二进制全加器)。

1.层次原理图设计

层次原理图设计 一实验目的 1 掌握层次原理图的绘制方法。 2 理解层次原理图模块化的设计方法。 二实验内容 绘制洗衣机控制电路层次原理图,包括“复位晶振模块”,“CPU模块”,“显示模块”和“控制模块”。 三实验步骤 注意:在每个原理图上都设计一个模板,内容包括:标题、姓名、学号、专业年级,日期等内容。 1 新建工程项目文件 1)单击菜单File/New/PCB Project,新建工程项目文件。 2)单击菜单File/Save Project保存工程文件,并命名为“洗衣机控制电路.PrjPCB”。 2 绘制上层原理图 1)“在洗衣机控制电路.PrjPCB”工程文件中,单击菜单File/New/Schematic,新建原理图文件。 2)单击菜单File/Save As..,将新建的原理图文件保存为“洗衣机控制电路.SchDoc” 3) 单击菜单Place/Sheet Symbol或单击“Wring”工具栏中的按钮,如图1所示,依次放置复位晶振模块,CPU模块,显示模块,控制模块四个模块电路,并修改其属性,放置后如图2所示 图1 模块电路属性

图2 放置四个模块电路 4)单击菜单P1ace/Add sheet Entry或单击“Wring”工具栏的按钮,放置模块电路端口,并修改其属性,完成后效果如图3所示 图3 放置模块电路端口 5)连线。根据各方块电路电气连接关系,用导线将端口连接起来,如图4所示 图4 连线 3 创建并绘制下层原理图 1)在上层原理图中,单击菜单Design/Create Sheet From Symbol,此时鼠标变为十字形。 2)将十字光标移到“复位晶振模块”电路上,单击鼠标左键,系统自动创建下层原理图“复位晶振模块.SchDoc”及相对应的I/O端口。如图5所示。

用门电路设计一位的全加器

实验二组合逻辑设计 一、实验目的 1、掌握组合电路设计的具体步骤和方法; 2、巩固门电路的运用和电路搭建能力; 3、掌握功能表的建立与运用; 4、为体验MSI(中规模集成电路)打基础。 二、实验使用的器件和设备 四2输入异或门74LS86 1片 四2输入正与非门74LS00 1片 TDS-4数字系统综合实验平台1台 三、实验内容 1.测试四2输入异或门74LS86 一个异或门的输入和输出之间的逻辑关系。 2.测试四2输人与非门74LS00一个与非门的输入和输出之间的逻辑关系。 3.等价变换Si=Ai○十Bi○十Ci-1 Ci=AiBi +(Ai○十Bi)Ci-1 4.画出变换后的原理图和接线图。 四、实验过程 1、选择实验题目,分析逻辑功能 用门电路设计一位的全加器 一位全加器:在进行两个数的加法运算时不仅要考虑被加数和加数而且要考虑前一位(低位)向本位的进位的一种逻辑器件。 2、根据逻辑功能写出真值表; 3、根据真值表写出逻辑函数表达式; Si=Ai○十Bi○十Ci-1 Ci=AiBi +(Ai○十Bi)Ci-1 4、利用卡诺图法或布尔代数法对逻辑函数表达式进 行化简; 不需化简 Si=Ai○十Bi○十Ci-1 Ci=AiBi +(Ai○十Bi)Ci-1 5、将化简的逻辑表达式等价变换,统计出实验所需芯片;

Si=Ai○十Bi○十Ci-1 所需芯片: 四2输入异或门74LS86 1片 四2输入正与非门74LS00 1片 6、根据各芯片的引脚图,测试所有需用芯片的功能,画出各芯片的功能表; VCC VCC 74LS86接线图 74LS00接线图 74LS 86芯片测试结果74LS00 芯片测试结果

绘制层次电路原理图讲解

《电路CAD 》课程实验报告 实验名称绘制层次电路原理图实验序号实验二姓名张伟杰系专业电科班级一班学号201342203 实验日期5月5日指导教师曹艳艳组名第一组成绩 一、实验目的和要求 1 掌握层次原理图的绘制方法。 2 理解层次原理图模块化的设计方法。 二、实验设备 计算机、Altium Designer 10 三、实验过程(步骤、程序等) 1 新建工程项目文件 1)单击菜单File/New/PCB Project,新建工程项目文件。 2)单击菜单File/Save Project保存工程文件,并命名为“洗衣机控制电路.PrjPCB”。 2 绘制上层原理图 1)“在洗衣机控制电路.PrjPCB”工程文件中,单击菜单File/New/Schematic,新建原理图文件。 2)单击菜单File/Save As..,将新建的原理图文件保存为“洗衣机控制电路.SchDoc” 3) 单击菜单Place/Sheet Symbol或单击“Wring”工具栏中的按钮,如图1所示,依次放置复位晶振模块,CPU模块,显示模块,控制模块四个模块电路,并修改其属性,放置后如图2所示

图1 模块电路属性 图2 放置四个模块电路 4)单击菜单P1ace/Add sheet Entry或单击“Wring”工具栏的按钮,放置模块电路端口,并修改其属性,完成后效果如图3所示 图3 放置模块电路端口

5)连线。根据各方块电路电气连接关系,用导线将端口连接起来,如图4所示 图4 连线 3 创建并绘制下层原理图 1)在上层原理图中,单击菜单Design/Create Sheet From Symbol,此时鼠标变为十字形。 2)将十字光标移到“复位晶振模块”电路上,单击鼠标左键,系统自动创建下层原理图“复位晶振模块.SchDoc”及相对应的I/O端口。如图5所示。 图5 自动生成的I/0端口 4)绘制“复位晶振模块”电路原理图。 其用到的元件如下表1所示。绘制完成后的效果如图6所示。 表1 “复位晶振模块”电路元件列表 元件标号元件名所在元件库元件标示值元件封装R1 RES2 Miscellaneous Devices.IntLib 270ΩAXIAL0.4 R2 RES2 Miscellaneous Devices.IntLib 1k AXIAL0.4 C1 Cap Miscellaneous Devices.IntLib 33pF RAD-0.3 C2 Cap Miscellaneous Devices.IntLib 33pF RAD-0.3 C3 Cap Miscellaneous Devices.IntLib 33pF RAD-0.3 S1 SW-PB Miscellaneous Devices.IntLib SPST-2 Y1 XTAL Miscellaneous Devices.IntLib R38 VCC 电源工具栏 GND 电源工具栏

一位全加器的设计

课程设计任务书 学生:袁海专业班级:电子1303班 指导教师:封小钰工作单位:信息工程学院 题目: 一位全加器的设计 初始条件: 计算机、ORCAD软件,L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周 2、技术要求: (1)学习ORCAD软件,L-EDIT软件。 (2)设计一个一位全加器电路。 (3)利用ORCAD软件对该电路进行系统设计、电路设计,利用L-EDIT软件进行版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《理工大学课程设计工作规》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规。 时间安排: 2016.12.30布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2016.12.31-2017.1.2学习ORCAD软件和L-EDIT软件,查阅相关资料,复习所设计容的基本理论知识。 2017.1.3-2017.1.4对一位全加器电路进行设计仿真工作,完成课设报告的撰写。 2017.1.5 提交课程设计报告,进行答辩。

指导教师签名:年月日 系主任(或责任教师)签名:年月日 目录 摘要 .................................................................................................................................. I ABSTRACT ........................................................................................................................ I 1绪论 (1) 1.1集成电路发展现状 (1) 1.2集成电路版图工具L-edit简介 (1) 2全加器原理及一位全加器原理图设计 (1) 2.1一位全加器原理简介 (1) 2.2实现一位全加器功能的原理图设计 (1) 2.2.1一位全加器原理图 (1) 2.2.2基于ORCAD的一位全加器设计 (1) 2.2.3 一位全加器的电路图仿真 (1) 3一位全加器的版图设计 (1) 3.1确定一位全加器版图结构 (1) 3.2源漏共享缩小版图面积 (1) 3.3 版图所需基础器件绘制编辑 (1) 3.3.1 PMOS、NMOS等基础器件编辑 (1) 3.3.2 两输入与非门与异或门的绘制编辑 (1) 3.3.3源漏共享得到版图 (1) 3.4 绘制最终一位全加器版图 (1) 4心得体会 (1) 5参考文献 (1)

一位全加器

存档资料成绩: 华东交通大学理工学院 课程设计报告书 所属课程名称计算机组成原理 题目一位全加器的设计 分院电信分院 专业班级 15计算机科学与技术3班 学号20150210440313 学生姓名张子辰 指导教师王莉 2016 年 12 月 19 日

课程设计(论文)评阅意见 评阅人 王莉 职称 讲师 2016年12月19日 序号 项 目 等 级 优秀 良好 中等 及格 不及格 1 课程设计态度评价 2 出勤情况评价 3 任务难度评价 4 工作量饱满评价 5 任务难度评价 6 设计中创新性评价 7 论文书写规范化评价 8 综合应用能力评价 综合评定等级

目录 引言 (2) 一.全加器的介绍 (2) 1.1 全加器的基本概念 (2) 1.2全加器仿真设计分析 (3) 1.3 全加器的原理 (3) 二.课程设计目的 (3) 三.不同方法的一位全加器设计 (4) 3.1用逻辑门设计全加器 (4) 3.2 用74LS38译码器设计全加器 (6) 3.3用74LS153D数据选择器设计全加器 (8) 四.观测仿真电路 (10) 4.1逻辑门仿真电路的分析 (10) 4.2 74LS138译码器仿真电路的分析 (12) 4.3 74LS153D数据选择器仿真电路的分析 (13) 五.两位全加器的实现 (15) 5.1.原理 (15) 5.2创建电路 (18) 5.3 仿真电路的输出信号分析 (19) 六.收获与心得 (19) 参考文献 (20)

一位全加器的设计 引言 MAX+PLUS II是一个专门用于电路设计与仿真的工具软件。它以界面形象直观、操作方便、分析功能强大、易学易用等突出优点,迅速被推广应用。MAX+PLUS II仿真软件能将电路原理图的创建、电路的仿真分析及结果输出都集成在一起,并具有绘制电路图所需的元器件及其仿真测试的仪器,可以完成从电路的仿真设计到电路版图生成的全过程,从而为电子系统的设计、电子产品的开发和电子系统工程提供一种全新的手段和便捷的方法。 数字系统的基本任务之一就是进行算术运算。而常见的加、减、乘、除等运算均可以利用加法运算来实现。所以,加法器就成为数字系统中最基本的运算单元,可广泛用于构成其它逻辑电路。 一.全加器的介绍 1.1 全加器的基本概念 加法器是一种常见的组合逻辑部件,有半加器和全加器之分。半加器是只考虑两个加数本身,而不考虑来自低位进位的逻辑电路,就是两个相加数最低位的加法运算。全加器不仅考虑两个一位二进制数相加,还要考虑与低位进位数相加的运算电路。两个数相加时,除最低位之外的其余各位均是全加运算

实验一 原理图输入方式设计数字逻辑电路

实验一原理图输入方式设计数字逻辑电路 一、实验目的: 1、了解基本组合逻辑电路的原理及利用Quartus II 软件进行设计的一般方法。 2、熟悉Quartus II 原理图输入法的设计流程,掌握编辑、编译和仿真的方法。 3、掌握原理图的层次化设计方法。 4、了解Quartus II 软件的编程下载及引脚锁定的方法。 5、了解Quartus II宏功能模块的使用方法。 二、实验的硬件要求: 1、EDA/SOPC实验箱。 2、计算机。 三、实验原理 见附件《Quartus设计的一般步骤》、《元件例化和调用的操作步骤》、《QuartusII基于宏功能模块的设计》 四、实验内容: 1、用原理图方式设计1位二进制半加器半加器。 新建一个工程“HalfAdder”,选择芯片“Cyclone III EP3C16Q240C8”,建立原理图如图1-1,保存为“HalfAdder.BDF”。 图1-1 半加器电路图 编译工程。 建立波形文件,对半加器电路分别进行时序仿真和功能仿真,其波形如下: 图1-2半加器时序仿真波形,注意观察输出延时,以及毛刺的产生原因 图1-3半加器功能仿真波形 2、原理图层次化设计。 新建一工程,取名“FullAdder”;将上面设计的半加器“HalfAdder.BDF”复制到当前工程目录,并生成“符号元件”HalfAdder.BSF。 建立一个原理图文件,取名“FullAdder.BDF”,利用“符号元件”HalfAdder.BSF及其它元件设计全加器电路如下图:

用功能仿真测试全加器的逻辑功能。 图1-5 全加器功能仿真波形 图1-6是输入输出信号与FPGA连接示意图,图中用到了“拨档开关”作为输入,“LED 显示模块”显示输出值。表1-1是本实验连接的FPGA管脚编号。

实验七multisim数字电路原理图设计

电子线路设计软件课程设计报告实验内容:实验七multisim数字电路原理图设计 一、实验目的 1、认识并了解multisim的元器件库; 2、学会使用multisim绘制电路原理图; 3、学会使用multisim里面的各种仪器分析数字电路; 二、Multisim10 的菜单栏 1.File菜单 该菜单主要用于管理所创建的电路文件,对电路文件进行打开、保存等操作,其中大多数命令和一般Windows应用软件基本相同,这里不赘述。下面主要介绍Multisim 10.0的特有命令: ●Open Samples:可打开安装路径下的自带实例; ●New Project,Open Project,Save Project和Close Project:分别对一个工程文 件进行创建、打开、保存和关闭操作。一个完整的工程包括原理图、PCB文件、仿真文件、工程文件和报告文件; ●Version Control:用于控制工程的版本。用户可以用系统默认产生的文件名或 自定义文件名作为备份文件的名称对当前工程进行备份,也可恢复以前版本的 工程; ●Print Options:包括两个子菜单,Print Circuit Setup子菜单为打印电路设置选项; Print Instruments子菜单为打印当前工作区内仪表波形图选项。 2.Edit菜单 “编辑”菜单下的命令主要用于在绘制电路图的过程中,对电路和元件进行各种编辑操作。一些常用操作,例如,复制,粘贴等和一般Windows应用程序基本相同,这里不再赘述。下面介绍一些Multisim10.0特有的命令。 ●Delete Multi-Page:从多页电路文件中删除指定页。执行该项操作一定要小心, 尽管使用撤销命令可恢复一次删除操作,但删除的信息无法找回; ●Paste as Subcricuit:将剪贴板中已选的内容粘贴成电子电路形式; ●Find:搜索当前工作区内的元件,选择该项后可弹出对话框,其中包括要寻找 元件的名称、类型及寻找的范围等; ●Graphic Annotation:图形注释选项,包括填充颜色、类型、画笔颜色、类型和 箭头类型; ●Order:安排已选图形的放置层次; ●Assign to Layer:将已选的项目(例如,REC错误标志、静态指针、注释和文本 /图形)安排到注释层; ●Layer Setting:设置可显示的对话框; ●Orientation:设置元件的旋转角度; ●Title Black Position:设置已有的标题框的位置; ●Edit Symbol/Title Block:对已选定的图形符号或工作区内的标题框进行编辑。 在工作区内选择一个元件,选择该命令,编辑元件符号,弹出的“元件编辑” 窗口,在这个窗口中可对元件各引脚端的线型、线长等参数进行编辑,还可以 自行添加文字和线条等;选择工作区内的标题框,选择该命令,弹出“标题框 编辑”窗口,可对选中的文字、边框或位图等进行编辑; ●Font:对已选项目的字体进行编辑; ●Comment:对已有的注释项进行编辑; ●Forms/Questions:对有关电路的记录或问题进行编辑;当一个设计任务由多个

FPGA实验教程_原理图设计部分

实验注意事项 1.做实验前,先连接好下载线,然后才能接上电源。 2.做完实验后,先拨掉实验箱上的电源,然后才能拨下载线。 实验一:简单逻辑门 实验目的:掌握Quartus使用及基于原理框图进行FPGA开发的基本流程 实验要求:掌握Quartus使用及基于原理框图进行FPGA开发的基本流程,注意设备及人身安全,严禁带电插拔JTAG下载线,防止损坏设备 所需器材:FPGA教学实验系统,带并口的普通计算机 实验介绍:本实验是在FPGA教学实验系统上实现简单的逻辑门,例如2输入的与门、与非门、或门、异或门等,对应部分的电路原理图如图1所 示。当K0(K1)按键断开时,FPGA引脚175(173)的输入为低电 平,对应发光二极管D2(D3)熄灭,当K0(K1)按键按下时,FPGA 引脚175(173)的输入为高电平,对应发光二极管D2(D3)发光。 FPGA引脚175、173在本实验中可用作输入引脚。FPGA引脚64、 65、66、73分别接有发光二极管(LED)DR0~DR3,在实验中可用 作输出引脚,当引脚输出高电平时,对应的LED被驱动发光。这些 输出引脚可用于实现2个输入的不同逻辑功能。 图1 部分按键与LED的原理图 实验步骤: 1. 为工程建立工作目录 为了方便工程涉及到的文件的管理,以后的每一个工程,都需要为其建立专门的工作目录,目录路径中不要包含有非英文或数字的字符(例如不要包含空格或中文字符等)。请利用系统自带的“我的电脑”或“资源管理器”建立目录。在此假设在E:\work目录下建立名为mylogic_sch的工程工作目录,其目录路径为E:\work\mylogic_sch,本实验所涉及的文件都需要放置在该目录当中。 2. 运行Quartus II程序 方法1(通过开始菜单):

1位全加器的电路和版图设计

集成电路设计基础 论文题目:CMOS全加器设计学院:信息科学与工程学院专业:集成电路工程 姓名:耿烨亮 学号:1311082135

CMOS全加器设计 摘要:现代社会随着电路的集成度越来越高,功耗和信号延迟成为超大规模集成电路的关键。加法运算是数字系统中最基本的运算,为了更好地利用加法器实现减法、乘法、除法等运算,需要对全加器进行功能仿真设计和分析。另外通过全加器可以对其它相关电路有所了解。因此只有深刻理解了全加器的性能才能进一步减小功耗和信号延迟[1]。本文用对一位全加器进行了全面的分析。并且通过使用Cadence公司的工具IC 5141与Hspice来实现全定制的整个设计流程。 关键词:全加器;全定制;Cadence

As the circuit’s integration is increasing in the modern society,Power consumption and signal delay is crucial to the design of high-performance very large scale integration circuits. Addition operation is the basic operation of the digital system, In order to achieve much better use of the adder subtraction, multiplication, division and other operations, The need for full adder functional simulation design and analysis is necessary .what’s more, we can understand the other related circuitry through the full adder , Therefore, only a deep understanding of the performance of the full adder can we reduce the power consumption and signal delay.The paper has a comprehensive analysis to the full adder. And through the use of Cadence tool IC 5141 and Hspice to achieve full custom throughout the design process. Key words: the full adder ; Full – Custom; Cadence

全加器设计

学院:计算机学院 专业:信息与计算科学 姓名:方荣华 学号:0908060223 班级:0902 全加器 一位全加器 全加器是能够计算低位进位的二进制加法电路 一位全加器(FA)的逻辑表达式为: S=A⊕B⊕Cin Co=AB+BCin+ACin 其中A,B为要相加的数,Cin为进位输入;S为和,Co是进位输出; 如果要实现多位加法可以进行级联,就是串起来使用;比如32位+32位,就需要32个全加器;这种级联就是串行结构速度慢,如果要并 行快速相加可以用超前进位加法, 超前进位加法前查阅相关资料; 如果将全加器的输入置换成A和B的组合函数Xi和Y(S0 (3) 制),然后再将X,Y和进位数通过全加器进行全加,就是ALU的逻辑结构 结构。 即 X=f(A,B) Y=f(A,B) 不同的控制参数可以得到不同的组合函数,因而能够实现多种算术 运算和逻辑运算。 半加器、全加器、数据选择器及数据分配器 1.验证半加器、全加器、数据选择器、数据分配器的逻辑功能。 2.学习半加器、全加器、数据选择器的使用。 3.用与非门、非门设计半加器、全加器。 4.掌握数据选择器、数据分配器扩展方法。 1.半加器和全加器 根据组合电路设计方法,列出半加器的真值表,见表7。逻辑表达式为: S =AB + AB= A⊕B C = AB 半加器的逻辑电路图如图17所示。 用两个半加器可组成全加器,原理图如图18所示。 在实验过程中,我们可以选异或门74LS86及与门74LS08来实现半加器的逻辑功能;也可用全与非门如74LS00、反相器74LS04组成半加器。这里全加器不用门电路构成,而选用集成的双全加器74LS183。其管脚排列

protel实验报告

实验报告 一、实验目的 1.了解protel软件基本功能及实际操作方法; 2.掌握电路原理图设计和PCB图绘制基础和技能操作; 3.掌握PCB布线和布局的技巧以及注意问题; 4.原理图元件符号和PCB元件封装编辑技能; 5.培养实际电路图绘制和动手操作综合能力; 6.自己能够绘制电路原理图并可以对PCB进行合理布局 二、实验内容 1.protel 99 SE简介 Protel 99 SE软件是PROTEL99SE汉化版,99SE是PROTEL 家族中目前最稳定的版本,功能强大。采用了*.DDB数据库格式保存文件,所有同一工程相关的SCH、PCB等文件都可以在同一*.DDB数据库中并存,非常科学,利于集体开发和文件的有效管理。还有一个优点就是自动布线引擎很强大。在双面板的前提下,可以在很短的时间内自动布通任何的超复杂线路! 主要教我们: 1.画画简单的原理图(SCH) 2.学会创建SCH零件

3.把原理图转换成电路板(PCB) 4.对PCB进行自动布线 5.学会创建PCB零件库 6.学会一些常用的PCB高级技巧。 主要的模块: 1.电路原理图设计模块:该模块主要包括设计原理图的原理图编辑器,用于修改、生成元件符号的元件库编辑器以及各种报表的生成器。 2.印制电路板设计模块:该模块主要包括设计电路板图的PCB编辑器,用于PCB自动布线的Route模块。用于修改、生成元件封装的原件封装编辑器以及各种报表的生成器。 3.可编程逻辑器件设计模块:该模块主要包括具有语法意识的文本编辑器、由于编译和仿真设计结果的PLD模块。 4.电路仿真模块:该模块主要包括一个具有强大的数/模混合信号电路仿真器,能提供连续的模拟信号和离散的数字信号仿真。 2.电路图设计基础和操作步骤 2.1印制电路板设计的流程方框图: 电路原理图设计产生网络表印制电路板设计;

实验一1 1位全加器的设计

实验一1位全加器的设计 一、实验目的 1.熟悉ISE软件的使用; 2.熟悉下载平台的使用; 3.掌握利用层次结构描述法设计电路。 二、实验原理及说明 由数字电路知识可知,一位全加器可由两个一位半加器与一个或门构成,其原理图如图1所示。该设计利用层次结构描述法,首先设计半加器电路,将其打包为半加器模块;然后在顶层调用半加器模块组成全加器电路;最后将全加器电路编译下载到实验板,其中a,b,cin 信号可采用实验箱上SW0,SW1,SW2键作为输入,输出sum,cout信号采用发光二极管LED3,LED2来显示。 图1 全加器原理图 三、实验步骤 1.在ISE软件下创建一工程,工程名为full_adder,工程路径在E盘,或DATA盘, 并以学号为文件夹,注意不要有中文路径,注意:不可将工程放到默认的软件安装 目录中。芯片名为Spartan3E系列的XC3S500E-PQG208 2.新建Verilog HDL文件,首先设计半加器,输入如下源程序; module half_adder(a,b,s,co); input a,b; output s,co;

wire s,co; assign co=a & b; assign s=a ^ b; endmodule 3.保存半加器程序为half_adder.v,通过HDL Bench画仿真波形,获得仿真用激励文 件,随后进行功能仿真、时序仿真,验证设计的正确性,观察两种仿真波形的差异。 4.在Design窗口中,选择Design Utilities→Create Schematic Symbol创建半加器模 块; 5.新建一原理图(Schematic)文件,在原理图中调用两个半加器模块、一个或门模块, 按照图1所示连接电路,并连接输入、输出引脚。完成后另保存full_adder.sch。 6.对设计进行综合,如出现错误请按照错误提示进行修改。 7.HDL Bench画仿真波形,获得仿真用激励文件,分别进行功能与时序仿真,验证全 加器的逻辑功能,观察两类波形的差异。 8.根据下载板的情况锁定引脚 9.下载,采用JATG方式进行下载,通过SW0,SW1,SW2输入,观察的LED2,LED3, 亮灭情况,验证全加器的逻辑功能。 四、思考题 1.为什么在实验步骤3中,将半加器保存为half_adder,可否保存为full_adder? 2.对电路进行功能仿真与时序仿真时,发现二者有什么样的区别? 3.为什么要进行引脚锁定? 4.采用层次结构法描述电路有什么样的优点?

电路原理图与电路板设计实验报告

电路原理图与电路板设计实验报告 学院: 班级: 专业: 姓名: 学号: 指导老师: 河南工业大学实验报告专业班级姓名 学号 同组者姓名完成日期 成绩评定 实验题目:(一)原理图设计环境画原理图实验 实验目的:

1.熟练PROTEL99se的原理图编辑环境。 2.掌握常用管理器,菜单的使用,电气规则检查。 3.掌握元器件的调用,属性含义。 实验内容: 教材: 1.1,1.2,1.3,1.4环境熟悉 2.1,2.2工具条对象,器件调用 2.3,2.4菜单使用,元件属性修改 4.2练习1---练习8 实验仪器:PROTEL99se软件 实验步骤: (1)放置元件:就是在元件库中找元件,然后用元件 管理器的Place按钮将元件放在原理图中。 放置元件时需要使用如下所示快捷键: 空格键:每单击一次空格键使元件逆时针旋转90度。 TAB键:当元件浮动时,单击TAB键就可以显示属性编辑窗口。

X键:元件水平镜像。 Y键:元件垂直镜像。 (2)连接导线。使用划线工具连接导线。 (3)放置电源,地线和网络标记。放置电源和地线标记前要显示电源地线工具箱。 (4)自动元件编号:使用菜单Tool/Annotate对元件自动编号。 (5)编辑元件属性。单击元件,在弹出的属性窗口中输入元件的属性,注意一定要输入元件封装。(6)电气规则检查。使用Tool/ERC菜单,对画好的原理图进行电气规则检查,检查完毕后,出现报 表信息,就可以进行下一步。 (7)原件图元件列表。使用Edit/Export to Spread菜单,按照向导提示进行操作。 (8)建立网络表。使用菜单Design/Netlist。 实验截图: 注意事项: 连线:从器件的端点开始到端点结束,不要多余的线,

层次原理图实验报告

实验报告 数字学院(院、系)数码嵌入专业3-4班Protel99se 电路设计课 学号姓名:实验日期:2012.10.3 教师评定 一、实验名称:层次原理图设计 二、实验目的: 1.掌握层次原理图的建立方法 2.掌握由方块电路符号产生新原理图的方法 3.掌握电气规则检查和网络报表的生成 三、实验内容: 层次化原理图设计的流程 四、实验步骤: 步骤1:绘制总原理图 (1)执行菜单命令“File”→“New”数据库命名为我的文件 (2)对电路进行合理的功能分块,并画出层次原理图总图 1、在文件对话框中,右击“New”,从框中选择原 理设计服务图标。 2、双击图标或单击【OK】按钮,就会建立原理图 设计文档

3、双击原理图文档图标,进入原理图设计服务器的界面。 4、执行菜单命令“放置”→“图纸符号”。 5、执行完该命令后,光标变为十字形状,并带着方块电路,在此命令下,按下键,在对话框中,将文件名选项设置为l.sch,将名称选项设置为Sheet 1。 6、将光标移动到适当的位置后,单击鼠标左键,确定方块电路的左上角位置。然后拖动鼠标,移动到适当的位置后,单击鼠标左键,确定方块电路的右下角。

这样就定义了方块电路的大小和位置,绘制出了一个名为Sheet l的模块。 7、用步骤(5)(6)的方法,也绘制一个名为2.sch 的模块。 8、执行菜单命令“放置”→“放置图纸符号”。 9、在(8)的命令下,光标变为十字形状,并带着方块电路的端口符号,在此命令下,按下键,在对话框中,将名称选项设置为1,I/O类型选项设置为输出,边和样式选项设置为向右。将光标移动到模块边界后,单击鼠标左键,将其定位;同样,根据实际电路,在Sheet l模块上放置一个 1. 2的端口。 10、重复(9)的操作,设置模块的端口 备注:也可以先绘制原理子图添加符号后,在“设计”中,“由符号生成图纸”出现十字光标点击将会生

相关主题