搜档网
当前位置:搜档网 › JLINK问题汇总_V2.0

JLINK问题汇总_V2.0

JLINK问题汇总_V2.0
JLINK问题汇总_V2.0

Debussy 仿真快速上手教程

快速上手五部曲: (Debussy v.5.2) 1. Import Files and generate FSDB file 2. Trace between hierarchy browser and source code 3. Trace between hierarchy browser、source code and schematic 4. Trace between hierarchy browser、source code、schematic and waveform 5. nLint (nState本文没介绍,有兴趣的读者,请依文后的连结,自行下载CIC所提 供的NOVAS原厂编写教材参考) 1. Import Files and generate FSDB file 1.1 启动Debussy:% Debussy &(此处的D大小写都可以,但其它指令的大小写 可能就有差别) 开启nTrace window如下,此时工作目录下会新建一个"DebussyLog"目录

1.2 Import Files:File \ Import Design

结果如下图所示:nTrace视窗中,含有三个区域,Hierarchical Brower、Source code window、Message window。 (如果不想看波形,只想查看source code与schematic的关係,您可以直 接跳到step 2.1) 此时DebussyLog目录内会有Debussy.cmd、turbo.log、compiler.log三个档案。Debussy.cmd纪录所有import的档案与之后所有的执行程序。我们可以直接引用这个档案来执行上一次我们做过的一连串程序(refer to 1.5)。 1.3 设定外部模拟器,藉其產生FSDB file: Tools \ Options \ Preferences

使用H-Jtag或Jlinkv7单步调试

使用H-Jtag 的单步调试实验 启动H-Jtag,正确读取CPU 的ID 号。(这里默认您已经会使用H-Jtag,并且Jtag 板已经连接了开发板和PC、串口线也连接了开发板和PC) 说明:除去Jtag 接口、串口和LCD 接上设备外,不要接诸如USB 下载线等,因为单步调试时涉及中 断的调试会出现在中断响应的地方死循环的情况。 点击“”进入AXD 的调试页面,如下图所示: 然后点击“Options->Configure Target”进行配置,操作如下所示:

说明:这里直接将Jlink V7 的也选上了,下一节就不再进行说明。 配置过程,截图如下: 然后关闭AXD(其实也不用关闭AXD,直接点击“Files->Load Debug Symbols”选项,然后选择 TQ2440_Test.axf 文件,此时不推荐这样做),然后重新在ADS 1.2 中打开AXD,下面是加载完毕镜像后的

然后此时就可以单步调试了,下面列出常用的几个按钮以及功能(功能介绍依次从左到右介绍): 全速运行按钮:点击它就全速运行 暂停按钮:点击它可以暂停全速运行 调试按钮:实现单步调试,跳过函数调试等功能(中间4 个按钮) 显示执行点按钮:显示执行位置 断点按钮:设置断点 注意:单步调试时,对于中断等调试是没法进行的(比如接了USB 下载线),否则会出现在2440init.s 文件的415 行“ msr cpsr_cxsf,r1 ;SVCMode”处死循环,因为进入了中断响应子程序。 说明1:对于想要调试nand.c 文件的代码时,需要修改2440init.s 文件的314 和315 行,将其屏蔽(也就是前面加“;”号),并且要求从Nand Flash 启动才行,要Nand Flash 中的数据和您要调试的数据相同才行,否则是没法实现的。 说明2:对于调试时修改了代码,想要重新调试的解决方法为:在ADS 1.2 中编译(注意:是编译)镜像,然后再在AXD 中“Files->Reload Current Image”重新加载镜像即可。 说明3:对于已经设置过了AXD,然后第一次调试时打开AXD 出现错误的解决办法:首先重新配置AXD,然后点击“Files->Load Debug Symbols”,打开“TQ2440_Test.axf”文件,就可以加载镜像进行单步调 试了。这里仅仅抛砖引玉的初步介绍单步调试的方法,可能您在实际使用中还会遇到问题,请到天嵌科技的 论坛发帖子询问。 使用Jlink V7 的单步调试实验 在5.3.2 中已经添加了Jlink 的dll 文件,然后配置Jlink,首先打开“Options->Configure Target”,然后选择“Multe-ICE”,点击Configure 后,在出现的Jlink 配置单中使用默认配置即可;然后打开“Options->Configure Interface”,然后在出现的对话框中选择session file 页面,然后导入光盘的“Windows 平台开发工具包\Jlink 资源\init_sdram.txt”文件,操作如下图所示:

modelsim仿真小结

Modelsim仿真小结 Modelsim的基本仿真流程大致分以下几个步骤:建库、编译工程、前后仿真、调试等。Modelsim仿真既可以在modelsim界面操作,也可以用do文件实现,这里结合学习的教程、网上看到的资料,和实际遇到的一些问题,分别做一整理小结。 1.建库 建库包括Altera库和Xilinx库,同时都包括Verilog和VHDL。这里只建了Verilog库,VHDL和Verilog步骤相同。 对于Altera库主要包括lpm元件库、Mega_Function库atera_mf、altera原语库altera_primitive和各器件系列模型库。前三种是调用altera模块的必备库,第四种是进行综合后功能仿真和布线后时序仿真需要的库,和器件系列有关,只选对应系列即可。 Altera库创建和编译步骤如下: a)在Modelsim安装目录下新建文件夹,命名altera_lib,以存放编译后的库文件,可 以在altera_lib下新建Verilog和VHDL两个子文件夹,分别存放Verilog和VHDL库。 b)打开Modelsim,新建Library,file ->new->library .. c)如下图,创建lpm库,路径E:\modeltech_10.1a\altera_lib\Verilog\lpm

d)添加库文件,并编译,compile -> compile …,出现compile source files窗口, library 指定到lpm下,查找范围,选quartus安装目录下… eda\sim_lib目录里的仿真原型文件:220model.v ,点c ompile ,点done。 到此,lpm库建立完毕。 e)同理,建立altera_mf库添加altera_mf.v ,建立primitive库添加altera_primitive.v 建立各系列的模型库,命名可用系列名加_ver“xxx_ver”,也可随意吧,添加各系列的xxx_atoms.v。 这里,也可以把以上库放在一个文件夹,这样做简单,一次就搞定,分开也就是条理清楚,没人去看,所以没必要。 f)修改modelsim.ini文件,为的是让modelsim能自动map到已经编译的这些库上。 先去掉只读属性,在[Library]和[vcom]之间加上:库名=库路径[绝对路径或者相对路径],相对路径是相对于modelsim安装路径,modelsim安装路径用$MODEL_TECH 表示。 这里所有库都放在E:\modeltech_10.1a\altera_lib下,库名是altera_lib,这里只添加一句:altera_lib = E:\modeltech_10.1a\altera_lib g)保存退出,改回modelsim.ini只读属性,防止乱改。至此altera库建立完毕。 Xlinx库创建和编译步骤如下: 相比altera,xilinx建库很方便。xilinx有批处理命令,直接在console命令窗口,输入compxlib命令,调出编译库的窗口,先改掉modelsim安装目录下modelsim.ini只读属性。设置如下图:

modelsim和NCverilog的区别

Modelsim和NCverilog的比较 集成电路的发展趋势是,单位面积集成的晶体管的数目越来越多,可靠性越来越越高、稳定性越来越好。现在芯片集成度已经超出可人们的想象,有的芯片内部集成了数百万的晶体管,已经远远超出了人的大脑可以分析的范围。这就对EDA工具提出了更高的要求,不管是设计、仿真、综合软件的发展,都给集成电路工程师带来了巨大的便利。 modelsim是Mentor graphics公司推出的HDL代码仿真工具,也是业界最流行的HDL仿真工具之一。支持图形界面操作和脚本操作,常见的图形界面操作相对直观,但是由于重复性操作几率高、处理效率低、工程的非保存性,对于大规模的代码仿真不推荐使用;脚本操作完全可以克服以上的缺点,把常见的命令,比如库文件和RTL加载、仿真、波形显示等命令编辑成.do脚本文件,只需要让Modelsim运行.do文件即可以完成仿真,智能化程度高。 NCverilog是candence公司推出的Verilog HDL的仿真工具。NC-Verilog是Verilog-XL的升级版, 它采用Native-Compiled技术, 无论仿真速度, 处理庞大设计能力, 编辑能力, 记忆体容量和侦错环境都以倍数升级。C-Verilog是一个编译仿真器,它把Verilog代码编译成Verilog程序的定制仿真器。也就是它把Verilog 代码转换成一个C程序,然后再把该C程序编译成仿真器。因此它启动得稍微慢一些,但这样生成的编译仿真器运行得要比Verilog-XL的解释仿真器快很多。Ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;Ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step;Ncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真)基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作,hncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式。 Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f 中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译。 Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化。 ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top" 第二个参数: A -- signals of the specific scrope

JLINK使用指南

第二十三章:JLINK仿真调试器的使用 首先JLINK只能对NOR FLASH 进行烧写。 如何用JLINK将uboot烧写到NOR FLASH为例来讲解JLINK的用法。假定已经装好了JLINK驱动程序。 第一步:检测JLINK 是否和电脑连接上,用USB线连接JLINK和电脑打开J-Link Commander观察相关信息,看到如下信息证明JLINK已经和电脑连接上。 第二步:关掉上面的窗口,将JLINK和目标板连接,再次打开J-Link Commander观察相关信息,看到如下信息证明JLINK已经找到目标板的芯片。 第三步JLINK 相关设置首先打开J-Flash ARM 看到后选择Options Project Settings 或者直接按Alt+F7 进入工程设置。 在CPU选项中按下图进行选择。内核选择为ARM9,选Use target RAM(faster)Addr栏中填40000000 4KB

在FLASH选项中进行如下设置首先勾掉Automatically detect flash memory看到如下界面后点select flash-----Device选择SST39VF1601。设置完以后点击确认。注意BASE ADDR 为00000000. 第四步:点击file —>open或直接按Clt+O找到存放已经生成好的uboot.bin的文件,并打开uboot.bin。此时软件会提示Start address点击OK.

第五步按F7让JLINK软件实现自动下载。下图为程序下载完成后的界面。 整个过程到此结束,需要注意的是下载完成后必须拔掉JLINK程序才会跑起来。 说明:如果出现以下错误提醒,解决方法及可能原因: 1)注意BASE ADDR为00000000.可能设置错误了; 2)J-LINK复位时间短了或长了; 3)NOR FLASH里面有坏区或烧写误操作导致(通过H-JTAG清空,一般很少出现)。

Ncverilog_的一些经验

Ncverilog 的一些经验 1.Verilog和Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译 2.Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化. ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top", 第二个参数: A -- signals of the specific sc rope S -- Ports of the specified s cope and below, excluding library cells C -- Ports of the specified s cope and below, including library cells AS -- Signals of the specifie d scope and below, excluding library cells AC -- Signals of the specifie d scope and below, including library cells 还有一个 M ,表示当前scope的m emories, 可以跟上面的结合使用, "AM" "AMS" "AMC" 什么都不加表示当前scope的por ts; $shm_close //关闭数据库 2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化. ex). $dumpfile("filename"); //打开数据库 $dumpvars(1, top.u1); //scope = top.u1, depth = 1 第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope. $dumpvars; //depth = all scope = all $dumpvars(0); //depth = all scope = current $dumpvars(1, top.u1); //depth = 1 scope = top. u1 $dumpoff //暂停记录数据改变,信号变化不写入库文件中 $dumpon //重新恢复记录 3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debus sy结合,方便调试.

JLINK-rtt使用经验

Jlink RTT使用说明 单片机进行调试,一般都会分配出来一个调试的串口,如果单片机使用jlink烧录器,那么可以使用调试工具-RTT来代替串口进行信息的交互,不需要使用串口。 RTT(Real Time Terminal)是SEGGER公司新出的可以在嵌入式应用中与用户进行交互的实时终端。J-Link驱动4.90之后的版本都支持RTT。 需要安装J-Link驱动4.90之后的版本 1、使用JLINK SEGGER RTT打印调试信息 然后将这四个文件添加到自己工程中去,并且在主程序工程中包含SEGGER_RTT.h文件。 然后我们就可以直接在主函数中调用SEGGER_RTT_printf函数来打印调试信息了,该函数用法和printf函数类似,只是多了一个参数用来指定RTT通道。其中通道0,就是我们在调试时使用的通道。在主函数中添加如下代码即可打印信息。 SEGGER_RTT_printf(0,"Times %d\r\n",++u32Counter); 这个函数不支持浮点数,如输出浮点数可以先使用sprintf输出到缓存,再使用SEGGER_RTT_WriteString输出。 char rtt_out_str[80]; sprintf(rtt_out_str,"FloatValueIs%f;\n",var_float); SEGGER_RTT_WriteString(0,rtt_out_str); 单独打开RTT VIEWER 可以使用 如果打开JLinkRTTClient,必须同时打开RTT VIEWER 才可以使用

2、RTT输入检测 首先在程序中添加SEGGER_RTT_Read(0,rtt_buf,sizeof(rtt_buf)); 其次在J-Link RTT Viewer控制台的Input里面选择Sending/Send on Enter,然后End of Line 选择None 这样就可以通过下方输入框输入信息,按Enter发送 RTT VIEWER input设置 Win10自带的截图功能非常好用,快捷键Win + Shift + S

Jlink 调试

Mini INS/GPS 如何使用Jink 调试 Mini INS/GPS 本文档主要解决以下问题: 1. Mini INS/GPS的SWD仿真接口的定义 2. Jlink 仿真器如何连接SWD仿真接口 3. 如何使用Jflash 下载目标HEX格式文件 4. 如何使用J link 仿真和调试程序

为了方便用户调试姿态解算程序,Mini INS /GPS 提供了SWD 调试接口。它只需要4个引脚就可以仿真和调试STM32F 的程序。 1. SWDIO 数据通信引脚 2. SWDCLK 时钟引脚 3. GND 公共地,需要与仿真器的地连接在一起 4. VCC 3.3V 电源,有的仿真器用于检测目标芯片是否已上电。 以下是SWD 仿真接口在Mini INS/GPS 板子上的位置和引脚定义 Mini INS /GPS 的SWD 调试接口 双色状态指示 LED SWD 调试接口

Jlink 仿真器的接口 SWD 接口Jlink 仿真器 J-Link是SEGGER公司为支持仿真ARM内核芯片推出的JTAG仿真器。配合IAR EWAR,ADS,KEIL,WINARM,RealView等集成开发环境支持所有ARM7/ARM9/ARM11内核芯片的仿真,通过RDI接口和各集成开发环境无缝连接,操作方便、连接方便、简单易学,是学习开发ARM最好最实用的开发工具。Jlink 是分版本的,只有Jlink V6 或者更高版本的Jlink 才支持SWD 接口目前市面上的大都是Jlink V8版本,这是完美支持SWD 调试的 注意: SWD 数据 SWD 时钟 目标板电压 目标板GND 只需连接其中一个就可

Debussy是一个VerilogVHDL调试工具

介绍 一、Debussy是一个Verilog/VHDL调试工具 Debussy是一套很好的Verilog/VHDL调试工具,可以帮助设计者快速理解复杂的设计,查找和定位设计中存在的问题,提高效率。 二、Debussy包含软件模块 Debussy主要有以下几个模块: 1、nTrace:超文本连接方式的源代码追踪及分析 2、nSchema:原理图显示及分析 3、nWave:波形显示及分析 4、nState:有限状态机的显示及分析 5、nCompare:分析仿真结果,比较其相异处。 Debussy的五个组成部分nTrace, nWave, nSchema, nState, nCompare在FSDB和Knowledge Database (KDB)的支持下高度集成。

三、Debussy功能 Debussy直接编译Verilog/VHDL源代码,并且采用了预综合技术识别电路的单元,可以生成原理图。在导入仿真结果后,可以用Active Annotation在源代码、原理图、状态图上动态显示变量的仿真值。 Debussy的输入为:Verilog/VHDL或混合语言源代码,仿真波形文件,SDF 支持的波形格式:vcd,fsdb,模拟波形文件(PowerMill,TimeMill,Avant! Star-Sim,SmartSpice) 支持的仿真器:VCS,Verilog-XL,NC-verilog,NC-VHDL,Modelsim,Leapfrog,Ploaris。

操作 一、启动 1. 启动 %debussy 启动debussy时,弹出一个nTrace窗口,包含三个子窗口: a、左边是Hierarchical Browser窗口,用来显示设计的层次(design hierachy)。 b、右边是Source Code window,显示源代码。跟踪信号的驱动、负载。 c、底下是Message window(消息窗口),用来报告操作的结果。 2.导入设计 File->Import Design Debussy提供了两种导入设计的方法: A、从设计源文件直接导入 B、从库导入(先将源文件编译成库) Note: 可以用命令的方式直接启动debussy并导入设计文件,即在命令行上列出所有的选项和源文件,或者把这些选项和源文件写到一个文件中,用-f选项指定这个文件。例如: %debussy design.v -v lib.v %debussy -f run.f Note: 启动debussy后,会自动建立一个日志目录DebussyLog,在这个目录下,有一个日志文件:,记录了Debussy的编译信息。 3.查看编译信息: File->View Import Log 二、根据仿真结果进行调试 1. 生成仿真的波形文件 Debussy提供的新的波形文件格式FSDB(Fast Signal DataBase),相比于VCD格式,压缩量大,比vcd文件小5-50倍,加载速度快。 Debussy提供了PLI(for Verilog)和FLI(for VHDL)接口,我们可以在仿真时直接导出FSDB文件。例如,在Verilog的测试模块中加入如下语句:

有关modelsim的问题汇总

问题一:编译xilinx仿真库 在网上搜了无数帖子,结合自己数小时的实践,终于搞定了Xilinx仿真库。 测试环境:Windows XP3,Xilinx ISE Suite 10.1,ModelSim SE 6.5c 使用ISE自带的库生成工具是最方便的方法。 首先打开ISE,在Edit->Preferences...->ISE General 的Intergrated Tools 的Model Tech Simulator中指定Modelsim.exe的实际安装路径。(在这里我的路径是D:\Work\modeltech_6.5c\win32\modelsim.exe,请根据自己的实际情况更改)。OK以后退出ISE。 然后到ModelSim安装目录下找到ModelSim.ini文件,右键将其只读属性去掉,确定。 按下WIN+R,输入compxlibgui后回车,这其实就是自带的工具Simulation Library Compilation Wizard。点击Select simulator,选中ModelSim。检查路径正确了以后选Next。再Next,建议再Next,或者根据自己的实际需要选择FPGA/CPLD的具体型号。继续Next,在Map only to existing pre-compiled libraries前打上勾,在Output Directory for modelsim.ini file using mapped libraries中选择modelsim安装根目录modelsim.ini所在的路径,这一步很重要。再点击Next就开始编译了。 最后把ModelSim.ini文件的只读属性改回去,万事OK…… 问题二: Hello all, Whenever I need to look at a filter output (or any DSP core), I used to define a real signal and assign it to what I want to examine, roughly something like this: dac_real_out <= hex2real(dac_holder, 12.0); where dac_holder is a std_logic_vector. It is much easier to look at an analogue signal waveform instead of tracing hex values word by word. This used to work quite alright using ModelSim XE edition. Now for some reason, it seems I can't get it to compile my design---I get the following error: # ** Error: (vcom-42) Unsupported ModelSim library format for "work". (Format: 3) My first question is: can I go around it as I've never encountered this before.

Debussy使用指南(上)2006.03.02

Debussy 入门指南(上) ahan/阿憨 ahan.mail@https://www.sodocs.net/doc/3712094081.html, Debussy 简介 Debussy 智能调试系统改善了不同层次,门级、RTL和行为级复杂AISC和IC的调试过程。它提供了一个集成Verilog和HDL分析和调试的工具来减少整个芯片设计的时间,提高工程师的工作效率。主要部件有: nTrace – 一个独立的层次行的源代码分析和浏览工具。 nSchema – 一个面向调试的层次性的原理图生成器。 nWave-一个无比全面的波形分析工具。 nState—一个功能强大的快速验证有限状态机功能的调试工具。 所有Debussy模块全部通过一个智能的数据库和FSDB。信号的数值状态自动链接到所有的窗口。操作直观方便,有上下文和超级链接。例如,紧密的集成环境可以让你找到一个信号的源代码或者原理图和波形图,通过把这个信号拉入源代码窗口中。 Debussy 有两种工作模式:交互模式和后处理模式。使用你设计的HDL 源代码,Debussy 可以生成FSDB(Fast Signal DataBase)。你也可以通过Debussy把VCD或者TimeMill、PowerMill 仿真结果转为FSDB。Debussy支持在读入VCD文件的时候直接转化为FSDB格式。交互模式可以让你实时的控制你的仿真并用图形调试仿真结果。后处理模式可以让你输出仿真的结果通过PLI输出到FSDB文件中。在仿真结束后再到debussy中做交互式调试。

Debussy窗口界面 Debussy是一个多窗口,用户界面简便的应用软件。它可以在Linux,Motif,OpenWindows和其他X-window的系统上运行。下面我们逐一介绍: nTrace – 一个独立的层次形的源代码分析和浏览工具。 当你启动Debussy,nTrace会显示在中间的窗口中,作为其他窗口创建的主界面。当你在nTrace中打开一个新的设计,Debussy就会关闭存在的nWave和nSchema窗口。 Source Debug Mode(源代码调试模式) 分三个部分,层次浏览窗口,信息浏览窗口和超文本的代码窗口。超文本源代码窗口可以显示设计中的源代码和对于的原理图,这取决于观看的模式的选择。从工具栏中View->Source Code View or Schematic View下拉菜单可以切换模式。 Interactive Mode(交互模式) 通过Tool->Interactive Mode 可以进入交互模式。交互模式是从Debussy界面调用仿真器做调试,个人建议先dump 波形再到debussy做调试。 nWave-一个无比全面的波形分析工具。 窗口又分三个主要界面,信号界面,数值界面和波形界面,基本结构和 nWave Modelsim的Waveform没有太大区别。

debussy和modelsim协同仿真(VHDL)

1、编辑modelsim根目录下的modelsim.ini文件,将; Veriuser = veriuser.sl 更换为Veriuser = novas_fli.dll。 2、将C:\Novas\Debussy\share\PLI\modelsim_fli54\WINNT下的novas_fli.dll 拷贝至 C:\Modeltech_6.1d\win32中 3、将C:\Novas\Debussy\share\PLI\modelsim_fli54\WINNT下的novas.vhd拷贝至工程所在目录 4、打开modelsim,在工程所在目录新建一个novas的LIB,然后编译novas.vhd文件。 5、在testbentch中增加library novas;use novas.pkg.all; 6、在testbentch中添加 debussy_debug:process begin fsdbDumpfile("**.fsdb"); fsdbDumpvars(0,"顶层文件名(有testbench的话即为testbench名)"); wait; end process debussy_debug; 7、编译整个工程,然后仿真 8、打开debussy, import design加载文件,设置好顶层文件,打开nWARE,加入信号即可为了更加方便的使用DEBUSSY,我们可以编写DO文件来达到这一目的: 1、先新建dc16_tca目录。比如在E:/WORK/TEMP里新建该目录,把源程序都放在 dc16_tca/soure里头。 2、编写tca.do文件: 下面是以TCA为例说明一下这个步骤: tca.do: #start #建立并编译novas库 vlib novas vmap novas novas vcom -work novas D:/WORK/temp/dc16_TCA/source/novas.vhd #建立并编译work库

ncverilog

ncverilog仿真详解 发表在ASIC/FPGA/汇编, 学习笔记, 编程开发 | 由阿布 | 十一月26, 2011 | 0标签: ncverilog, 仿真 数位IC工具简介——Simulator 工具 ModelSim ModelSim是Mentor公司所推出的软体, 主要用来当作VHDL的模拟器, 也是目前CIC在VHDL方面的主要的模拟软体;但ModelSim不仅支援VHDL的模拟,同样也可用来当Verilog的模拟器, 更进一步的, ModelSim也支援 VHD&Verilog的混合模拟, 这对於单晶片系统(SoC)的发展上, 矽智产(IP)是来源来自不同的地方, 有些矽智产是采用VHDL描述, 有些是Verilog描述, 因此这是不可或缺的功能. 所以CIC引进ModelSim这一套软体. NCSim NC-SIM 为Cadence 公司之VHDL与Verilog混合模拟的模拟器(simulator),可以帮助IC 设计者验证及模拟其所用VHDL与Verilog混合计设的IC功能. NCVerilog NC-Verilog 为Cadence 公司之Verilog 硬体描述语言模拟器(simulator),可以帮助IC 设计者验证及模拟所设计IC 的功能.使用NC-Verilog软体,使用者必须使用Verilog 硬体描述语言的语法来描述所要设计的电路. VCS VCS 为Synopsys 公司之Verilog 硬体描述语言模拟器(simulator),可以帮助IC设计者验证及模拟所设计IC 的功能.使用VCS 软体,使用者必须使用Verilog 硬体描述语言的语法来描述所要设计的电路. ncverilog使用(2009-04-20 16:07:14) ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核; ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step ncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真) 基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作 ncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式 三命令模式: ncvlog -f run.f ncelab tb -access wrc ncsim tb -gui 第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首位,这样可以避免出现提示timescale的错误 注意:ncvlog执行以后将产生一个名为INCA_libs的目录和一个名为worklib的目录 第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。

《HSIM仿真工具研究使用报告》

《HSIM仿真工具研究阶段汇报》 ---- 胡滨 2006.1.4 张雷鸣修正2007 10.9 1).HSIM简介: HSIM(基于Nassda公司的专利层次化数据存储和同构匹配算法)是全球第一个满足深亚微米设计需求的全电路、层次化的晶体管级仿真器。 HSIM可以准确的仿真电路的各种行为,包括:电路的功能、电压和电流波形、时序和功耗信息、电源网络的电压降、串扰噪声等。由于采用了创新的层次化数据存储和同构匹配算法,HSIM对仿真的电路规模几乎没有限制,真正能够对HSIM仿真产生影响的决定性因素是仿真的硬件平台。因为随着仿真的进行,迭代次数越来越多,观测点的数据也越来越大。而想观察这些观测点必须使用第三方软件,比如debussy,但是第三方软件支持的观测数据容量是有限的,因此在使用改软件进行仿真时应该适当的控制仿真的精度与观测信号的数量。目前已知的极限情况是512M内存,P4 3.0配置的机器在对DLL仿真时,如果精度较高可能需要20个小时才能完成25us的仿真,需要注意的是DLL中有很多的寄存器单元,而寄存器单元正是在所有仿真软件中最耗时的电路。所以建议最好是使用配置较高的双核CPU 比较大的内存来运行HSIM及其仿真波形观测软件debussy。层次化数据存储免除了仿真器处理电路冗余单元和子电路对内存的需求,因此HSIM可以容易地做到高容量的全电路仿真;同构匹配可以减少对冗余单元和子电路的计算量。目前,该算法已经获得美国专利。 HSIM可以象SPICE一样用于任何电路的瞬态扫描、交流扫描、直流扫描和蒙特卡罗分析扫描仿真,而且它比SPICE快很多倍。HSIM的强项在于它维持一定精度和快速的情况下,对数以千万计晶体管的仿真能力。HSIM的用户可以用它来对大的电路模块、一组电路模块或者整个电路做晶体管级仿真,尤其是对于包含大量寄存器与存储器单元的大型电路系统。虽然hsim的精度相对hspice和其他仿真器要差一些,但是如果只关注系统在模拟仿真的环境下的功能是否正确的话还是可以尝试一下大的,尤其是在包含较大数字模块较小模拟模块的混合信号系统中使用hsim是具有很大优势的。因为HSIM是根据I/V LUT的原理来进行工作的,而I/V LUT的有效数字位数决定了仿真的精度和速度,这就决定了他在高速的情况下不精确而在精确的情况下不高速的特性。因此对于hsim而言比较合理的使用方案是先使用nclaunch、Hspice或者Spectre进行底层模块单元的数字与模拟仿真,得到对应的延迟与

Keil4使用Jlink设置指南

KEIL4环境,使用Jlink仿真器调试M3说明 1.安装keil4 首先要安装集成开发环境KEIL4MDK,这是ARM公司最新的调试软件,我们提供的工程例程都在KEIL4MDK开发环境下,调试通过! 2.安装jlink驱动, 驱动位于光盘的工具软件文件夹里,目前稳定的版本是JLinkARM_V408i。用户只需一步步安装即可,安装过程中不需要任何设置!安装完成后出现下图的可用快捷方式! 下边接收几个很有用的: 1.)J-Flash ARM:这是一个单独的程序烧写软件,J-Flash ARM配合Jlink仿真器可以完成绝大多数ARM芯片或扩展FLASH的烧录工作! 2.)J-Link GDB Server:打开这个软件,同时连接上开发板,就可一看到目标板MCU 内核和目标板电压! 3.)J-Link Commander:打开这个软件之前电脑要先连接jlink,可以看到jlink的硬件版本和序列号! 3.Keil4仿真调试配置 打开你要调试的工程(就是实验例程,请注意每个工程都可单独配置,KEIL4MDK工程一般是工程名+.uvproj的方式存在的),工程打开后,出现下边的界面:

我们提供了两种调试模式:内部flash调试和内部SRAM调试!我们首先要选择调试模式,是在内部flash调试还是内部SRAM调试。用户可以在下图的位置选择调试模式: 每颗芯片的RAM相对FLASH来说,都较小,所以如果程序量超过RAM的范围,请选择flash调试模式! 选择完调试模式后,接着配置该调试模式下的参数!点击下图所示图标 或者点击Flash--Configure Flash Tools......,如下图所示 点击后,会打开下图所示的配置窗口

基于modelsim和debussy的批处理仿真

基于modelsim和debussy的批处理仿真 本文将会叙述采用批处理的方法进行modelsim结合debussy仿真调试。目的在于提高仿真效率。该流程只适用于FPGA的前仿真,使用verilog文件以及测试文件。 如此操作有以下几个优点: 1、虽然开始的时候需要稍作准备,但等你完成了一次仿真后,以后只需要略微 修改一下就可以直接应用到其他工程里边去。相对于采用用modelsim的界面操作,省去了很多例如创建工程、添加仿真文件、进行编译、进行仿真等等节省了宝贵的工作时间。当然可以提高工作效率。 2、文件管理非常清楚。所有的verilog文件都放在一个文件夹,所有仿真需要的 文件以及仿真结果都放在另外一个文件里边,而且仿真后的文件也相对于使用modelsim界面操作仿真结果要少。 3、还有就是,因为没有界面操作,仿真速度会快很多,特别是采用modelsim进 行稍微大的仿真的时候,这时候采用这种方法的优势就更加明显了。 以下是进行仿真前的准备工作 第一步:安装modelsim、debussy软件以及编译相应的仿真库,如何安装以及破解,网络上有很多的资料说明,在这里就不详细说了。对于仿真库的编译,也有很多的方法,可以参考文章《modelsim添加仿真库方法》by Craftor。许多工程师也在自己的博客写过或转载过相似的库编译的方法。 这些都是我进行批处理仿真前已经做好了。 我的软件都是装在D盘分别是:D:\modeltech_6.5e和D:\Novas\Debussy 第二步:创建do文件。 如下(行号不需要): 1、vlib work 2、vlog-f vfile.f-incr 3、vsim-L xilinxcorelib_ver-L unisims_ver-L simprims_ver-L uni9000_ver-L unisims_ver-pli novas_fli.dll-lib work tuner_iic_tb 4、run40ms 5、exit 然后保存为sim.do 解析:1表示创建仿真工作库,也就是将你编译文件之后的文件存在这个work文件夹下。

jlink用于仿真stm32使用手册(V1.1)

J-Link用户指南 编写:徐世龙(网名:清风徐徐) 版本:Rev1.1 https://www.sodocs.net/doc/3712094081.html, 2009-06-07

目录 一、J-Link ARM JTAG仿真器简介 二、J-LINK驱动安装 三、J-LINK接线图 四、J-LINK(JLINK)在Keil c开发环境下的设置(针对stm32) 五、F.A.Q(占位)

版本更新说明 Rev1.1: 更改了核心板用jlink仿真时的跳线方式,并更新了图片;【FLASH DOWNLOAD SETUP】设置窗口的勾选项做了更改修正;2009-06-7完成 Rev1.0: 增加J-LINK接线图,2009-05-29完成 Rev0.9: 原始版本,2009-05-16完成

一、J-Link ARM JTAG仿真器简介 J-Link是SEGGER公司为支持仿真ARM内核芯片推出的JTAG仿真器。配合IAREWARM,ADS,KEIL,WINARM,RealView等集成开发环境支持所有ARM7/ARM9内核芯片的仿真,通过RDI接口和各集成开发环境无缝连接,操作方便、连接方便、简单易学,是学习ARM最好最实用的开发工具。 J-Link ARM主要特点 *IAR EWARM集成开发环境无缝连接的JTAG仿真器 *支持所有ARM7/ARM9内核的芯片,以及cortex M3,包括Thumb模式*支持ADS,IAR,KEIL,WINARM,REALVIEW等几乎所有的开发环境 *下载速度高达ARM7:600kB/s,ARM9:550kB/s,通过DCC最高可达800 kB/s *最高JTAG速度12MHz*目标板电压范围1.2V–3.3V *自动速度识别功能*监测所有JTAG信号和目标板电压 *完全即插即用 *使用USB电源(但不对目标板供电) *带USB连接线和20芯扁平电缆 *支持多JTAG器件串行连接 *标准20芯JTAG仿真插头 *选配14芯JTAG仿真插头 *选配用于5V目标板的适配器 *带J-Link TCP/IP server,允许通过TCP/IP网络使用J-Link J-Link支持ARM内核 *ARM7TDMI(Rev1) *ARM7TDMI(Re3) *ARM7TDMI-S(Rev4) *ARM720T*ARM920T *ARM926EJ-S *ARM946E-S *ARM966E-S *cortex M3

相关主题