搜档网
当前位置:搜档网 › 占空比可调的脉冲发生器

占空比可调的脉冲发生器

占空比可调的脉冲发生器
占空比可调的脉冲发生器

沈阳航空航天大学

课程设计报告

课程设计名称:微机系统综合课程设计课程设计题目:占空比可调的脉冲发生器

院(系):计算机学院

专业:计算机科学与技术

班级:

学号:

姓名:

指导教师:张维君

完成日期:2012年7月15日

沈阳航空航天大学课程设计报告

目录

第1章总体设计方案 (1)

1.1课程设计的内容和要求 (1)

1.2课程设计原理 (1)

1.3方案设计 (1)

1.4方案论证 (2)

1.5设计环境 (2)

第2章详细设计方案 (3)

2.1模块设计 (3)

2.2程序流程图 (4)

2.3硬件连线图 (6)

第3章调试及结果分析 (7)

3.1调试步骤及方法 (7)

3.2实验结果 (7)

3.3结果分析 (8)

参考文献 (9)

附录(源程序) (10)

沈阳航空航天大学课程设计报告错误!未指定书签。第

1章总体设计方案

第1章总体设计方案

1.1课程设计的内容和要求

一、课程设计内容:

具体内容如下:

1.用8255和8253产生脉宽可调的脉冲信号;

2.用实验箱上键盘中的两个按键调节脉冲;

3.按脉宽增加键脉宽逐渐增大,按脉宽减小键脉宽逐渐减小;

二、课程设计要求:

1.认真查阅相关资料;

2.独立设计、调试并通过指导教师现场验收;

3.撰写课程设计报告。

1.2 课程设计原理

根据课设要求,要实现通过键盘按键调节脉宽的脉冲信号发生器。本次设计中主要使用了8259可编程中断控制器,8255可编程并行接口芯片,8279键盘/显示芯片,8253定时/计数器以及部分连线来实现以上功能。利用8253芯片产生一定频率的脉冲信号,并用8255芯片以程序查询方式,检测该信号上高、低电平的持续时间,还要利用8259芯片的中断信号扫描信号,通过改变高电平的持续时间来调节占空比。最后,应用8279芯片将结果显示到数码管上。

1.3 方案设计

根据本次课程设计要求,用8253芯片计数器0产生低频率的方波信号,然后叠加一个矩形波,使之成为一个改变分频就可以改变占空比的矩形波。再将该矩形波作为计数器1产生的输入信号,使计数器1产生脉宽可调的脉冲信号,并把该脉冲信号接到8255的一个引脚(PB0),运用程序查询方式循环检测这个引脚高、低电平持续时间。利用芯片8259的中断功能循环扫描芯片8253计数器1的分频数,通过分频数计算占空比的值。在BX中存放分频数NUM,在CX中

沈阳航空航天大学课程设计报告第1章总体设计方案

存放(NUM-1)。然后,将BX中的数扩大100倍,再除以CX中的值,以此来计算出被扩大100倍后的占空比;接下来就是显示正确的占空比,将前面得到的准占空比除以10,再将AH中的结果存到CH中,将AL中的结果存到CL中,最后,由8279芯片将最终结果显示到数码管上。

1.4 方案论证

通过仔细阅读课程设计任务书,对本次课程设计所要完成的题目和要求要充分理解,从以下两方面进行方案论证。

◆对预设使用的芯片进行论证

8253可编程定时/计数器的功能:一是作为计数器,即在设置好计数初值后,便开始对外部脉冲作减1操作,当减为0时,输出一个信号;二是作为定时器,在设置好定时参数后,便开始对外部信号作减1操作,并按定时常数不断地输出为时钟周期整数倍的定时间隔。

8255A是可编程并行输入输出接口芯片,具有三个8位并行端口,40个引脚,双列直插式封装。有三种输入输出方式,用于输出给定信号。

8259A是可编程中断控制器芯片,用于管理和控制80x86的外部中断请求,坑人可实现中断优先级判定,提供中断信号,屏蔽中断输入等功能。

8279是可编程键盘/显示接口芯片。它的功能主要包括键盘输入和显示控制部分,其中键盘部分提供扫描功能。显示部分则是提供扫描方式的显示接口,可与8位或16位LED数码管连接构成。

◆对预设计的程序进行论证

用小灯测试8253芯片产生的脉冲信号的频率,已达到设计中所要求的低频率信号。逐步测试数据采集模块,计算占空比模块,显示模块。

经过认真仔细论证,证明所提出的方案切实可行。

1.5设计环境

软件环境:LCT88EA应有软件、Win2000、PC机

硬件环境:AEDK实验箱

第2章详细设计方案

2.1 模块设计

1.主模块:

在主程序中,主要实现各个芯片的初始化,将8253芯片的计数器0设置为工作方式3,低8位读写,二进制计数方式,使其输出方波在与一个矩形波叠加产生新的矩形波。,再将该矩形波作为计时器1的输入信号,并将计数器1设置为工作方式2,低8位读写,十进制计数方式,使计数器1产生低频率符合要求的脉冲信号;

2.数据结构部分:

用BX寄存器存储当前的分频数,用CX寄存器存储当前分频数减一数。在内存中开辟了一个字节空间DISBUF,用来存放每种模式所要显示的结果在TAB表中的编码,在中断服务程序中通过按照这个空间中的8个编码查表显示数码管上所要显示的结果。

3.数据采集模块:

采用程序查询方式,循环检测8253芯片计数器1的分频状态。记录当前分频数NUM,并保存到BX寄存器。

4.计算占空比模块:

将BX中的数减一得到的数存入CX中,然后,将BX中的数乘以100,再除以CX中的值,以此来计算出被扩大100倍后的占空比;接下来就是显示正确的占空比,将前面的到的准占空比除以10,再将AH中的结果存到CH中,将AL中的结果存到CL中。

5.显示模块:

根据CH、CL中的值,由8279芯片查表后将对应的数据显示到数码管上,以得到最后的正确结果。

2.2 程序流程图

本次设计的主流程图如图2.2.1所示;采集数据模块流程图如图2.2.2所示;计算占空比模块流程图如图2.2.3所示;显示占空比模块流程图如图2.2.4所示。

图2.2.1 占空比测量的主流程图

图2.2.2 数据采集模块流程图 图2.2.3 计算占空比模块流程图

图2.2.4 显示模块流程图

2.3 硬件连线图

本次设计用到四个芯片,分别是8259可编程中断控制器,8255可编程并行接口芯片,8279键盘/显示芯片,8253定时/计数器。其中8255芯片的A、B、C 端口及控制端口的地址为分别为200H,201H,202H,203H;键盘/显示芯片8279的数据端口地址为210H,控制端口地址为212H;定时/计数器芯片8253的端口地址为228-22BH。芯片8259的端口地址为220H具体连接情况如图2.2所示。

图2.2 硬件连线图

第3章调试及结果分析

3.1 调试步骤及方法

在开始的时候,每次都没有结果显示。于是通过单步调试,通过查看各个寄存器中的值,来验证各模块是否正常跳转以及模块的正确性。结果,在数据中断中没有中断产生。经过调试,可以正常显示预定的占空比的值,但是无法对占空比进行调节。经过思考发现,问题出在数据显示模块,每次显示完第一次预定的值以后没有对数码管进行清空,所以显示出现问题。之后,修改程序,在每次显示之前对数码管进行清空操作。问题得到解决。进一步修改,在计算模块中,将BX寄存器中的值乘以100后得到的结果存到AX寄存器中,用DX:AX做被除数,防止因BX中数值过大而使AL寄存器产生溢出而得到的不正确的结果。修改后,再次测试,则可以得到稳定而且正确的结果,误差很小。

3.2 实验结果

本设计已经基本满足任务书的要求。现将结果简单介绍如下:

1.当将计数器1的初值赋为5,即产生五分频的脉冲信号时,数码管显示结果

为0.80;

2.当按下实验箱上的”+”时,显示的占空比值增加,向1逼近。

3.当按下实验箱上的”-”时,显示的占空比值减小。向0.5逼近。

3.3 结果分析

由实验结果可知,由8253产生的方波最小的占空比的值为0.5。方波和矩形波叠加之后应该可以产生占空比小于0.5的值,但是此程序没有实现这个功能,有待提高。

参考文献

[1] 龚尚福.微机原理与接口技术[M].西安:西安电子科技大学出版社,2003

[2] 王忠民.微型计算机原理[M]. 西安:西安电子科技大学出版社,2003

[3] 沈美明,温冬婵. IBM-PC汇编语言程序设计[M].北京:清华大学出版,2001

附录(源程序)

TIM_CTL EQU 22bH

TIMER0 EQU 228H

TIMER1 EQU 229H

TIMER2 EQU 22AH

PC8255 EQU 203H

PC8255C EQU 202H

PC8255B EQU 201H

Z8279 EQU 212H

D8279 EQU 210H

LEDMOD EQU 00H ;左边输入,八位显示外部译码八位显示

CODE SEGMENT

ASSUME CS:CODE

START:

JMP S

NUM DB 5

S: cli

MOV DX,Z8279 ;置空FIFO寄存器

MOV AL,0c2H

OUT DX,AL

CALL INIT8253

CALL INIT8259

MOV DX,TIM_CTL

MOV AL,00010110B ;计数器0,低8位读写,工作方式3,二进制

OUT DX,AL

MOV AL,00000101B

MOV DX,TIMER0

OUT DX,AL

MOV DX,TIM_CTL

MOV AL,01010101B ;计数器1,低8位读写,工作方式2,十进制

OUT DX,AL

MOV AL,NUM

MOV DX,TIMER1

OUT DX,AL

MOV DX,PC8255

MOV AL,10000010B ;A方式0,输出B方式0,输入C输出

OUT DX,AL

MOV DX,PC8255B

MOV BX,0

MOV CX,0

CALL DISPLAY

mov dx,223h

out dx,al

mov al,30h

out dx,al

STI

JMP $

A1: NOP

JMP A1

INIT8259:

MOV AL,13H

MOV DX,220H

OUT DX,AL

MOV DX,221H

MOV AL,30H

OUT DX,AL

MOV AL,03

OUT DX,AL

MOV AL,0FEH ;ocw1

OUT DX,AL

IN AL,DX

MOV AX,0

MOV DS,AX

MOV SI,30H*4

MOV AX,OFFSET INTR1

MOV [SI],AX

MOV AX,CS

MOV [SI+2],AX

ret

INIT8253:

push AX

MOV DX,TIM_CTL

MOV AL,00010110B ;计数器0,低8位读写,工作方式2,二进制

OUT DX,AL

MOV AL,00000101B

MOV DX,TIMER0

OUT DX,AL

MOV AL,10110101B

MOV DX,TIM_CTL

OUT DX,AL

MOV AX,6144

MOV DX,TIMER2

OUT DX,AL

MOV AL,AH

OUT DX,AL

POP AX

RET

intr1:

call readkey

CMP AL,12H ;是减小

JE down

CMP AL,13H

JE up

iret

DOWN:

JMP A

UP:

INC NUM

INC NUM

JMP A

RET

A:

MOV DX,Z8279 ;置空FIFO寄存器

MOV AL,0c2H

OUT DX,AL

CALL DISPLAY

IRET

readkey:

MOV DX,Z8279 ;置空FIFO寄存器

MOV AL,0C2H

OUT DX,AL

WAIIT:

NOP

MOV AX,0

IN AL,DX

MOV BL,AL

AND AL,80H

CMP AL,80H

JE WAIIT ;FIFO正在清除期间则跳转等待MOV AL,BL

AND AL,0FH

CMP AL,00H

JE WAIIT ;无键按下则等待

MOV DX,Z8279

MOV AL,82H ;置读FIFO RAM命令字

OUT DX,AL

MOV DX,D8279 ;读入FIFO RAM内容

IN AL,DX

ret

DISPLAY:

MOV CX,00H

MOV BX,00H

MOV DX,0

MOV CL,NUM

DEC NUM

MOV BL,NUM

MOV AX,100

MUL BX

DIV CX

MOV CL,10

DIV CL

MOV CH,AH ;个位

MOV CL,AL ;十位

PUSH CS

POP DS

MOV DX,Z8279 ;置空FIFO寄存器

MOV AL,0C2H

OUT DX,AL

MOV DX,Z8279

MOV AL,LEDMOD

OUT DX,AL

MOV AL,90H

OUT DX,AL

MOV AL,CH

LEA BX,LED

XLAT

MOV DX,D8279

OUT DX,AL ;显示个位

MOV AL,CL

LEA BX,LED

XLAT

MOV DX,D8279

OUT DX,AL ;显示十位

MOV AL,10111111b

MOV DX,D8279

OUT DX,AL ;显示小数点

RET

LED DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH,77H,7CH,39H DB 5EH,79H,71H

CODE ENDS

END START

沈阳航空航天大学课程设计报告

课程设计总结:

上个学期的微机原理课程结束以后,对所学的知识朦朦胧胧,缺乏实践的考验。通过本次课程设计,我对微机原理的理论知识有了更加深刻的理解,增强了动手能力。对所学的各芯片,尤其是8259,8253,8255, 8279这四个芯片的结构、功能和使用方法有了更加清晰的认识。通过编写和调试程序,我也进一步熟悉了所用的编程环境LCT88EA应用软件和AEDK实验箱的功能和使用方法。为以后进一步学习微机原理、汇编语言和硬件相关知识打下了很好的基础。

通过这次课程设计,我发现了我在以前学习中的缺点和不足,比如对芯片的相关命令和使用方法还不够熟练;在课设中我还学会了8279的显示,不同方法计算占空比的值。学会了对汇编语言的单步调试,找到问题的所在。

课设中,我老师和同学都给予我很大的帮助,同学们总是在我要放弃时给我指点,给我鼓励。老师在我迷茫的时候给我指出问的所在。这些都使我的课设顺利完成,非常高兴有这样的老师和同学。

指导教师评语:

指导教师(签字):年月日课程设计成绩

利用定时器控制产生占空比可变的 PWM 波

利用定时器控制产生占空比可变的 PWM 波 * ; 按K1,PWM值增加,则占空比减小,LED 灯渐 暗。 * ; 按K2,PWM值减小,则占空比增加,LED 灯渐 亮。 * ;当PWM值增加到最大值或减小到最小值时,蜂鸣器将报 警 * ;资源:p0口,8路指示灯。p1.0,p1.4亮度控制按键(端口按键)p3.3小喇叭报警 * ;******************************************************************** ************ ;---------------------------- PWM EQU 7FH ;PWM赋初始值PWM 定义 为,7FH OUT EQU P0 ;1个LED灯的接口OUT 定义 为,P0.1 INCKEY EQU P1.0 ;K1,PWM值增加键。INCKEY 定义 为,P1.4 DECKEY EQU P1.4 ;K2,PWM值减小键。DECKEY 定义 为,P1.5 BEEP EQU P3.3 ;BEEP 定义为,接口3第3位 ;;---------------------------- ORG 0000H SJMP START ORG 000BH SJMP INTT0 ORG 001BH SJMP INTT1 ORG 0030H ;---------------------------- ;主程序 ;定时器0工作在模式1,定时器1工作在模式2。 ;---------------------------- START: MOV SP,#30H MOV TMOD,#21H MOV TH1,PWM ;脉宽调节 MOV TL1,#00H MOV TH0,#0FCH ;1ms延时常数 MOV TL0,#066H ;频率调节 SETB EA SETB ET0

占空比可调的方波函数发生器

西北民族大学电气工程学院课程设计说明书(2011/2012学年第二学期) 课程名称:模电课程设计 题目:正弦波发生器设计 专业班级:10级自动化一班 学生姓名:杨香林 学号:P101813404 指导教师:刘明华 设计成绩: 二〇一二年六月二十三日

目录 1.课程设计的目的 2.课程设计内容 2.1总体概述 2.11 设计任务 2.12 设计要求 2.2系统方案分析 2.3系统设计及仿真 2.4硬件设计 3.课程设计总结 4.参考文献

1、课程设计目的 1.掌握电子系统的一般设计方法。 2.理解迟滞比较器的设计原理,掌握方波函数发生器的设计原理。 3.理解555定时器的工作原理,掌握多谐振荡器的设计原理。 4.熟练运用multisim仿真软件设计和仿真电路。 5.提高综合应用所学知识来指导实践的能力。 2、课程设计总文 2.1总体概述 2.11 设计任务 使用集成运算放大器、稳压二极管、二极管、电阻等器件设计方波函数发生器。 2.12 设计要求 1、根据技术要求和现有开发环境,分析课设题目; 2、设计系统实现方案; 3、要求占空比可调;输出电压:8V<|Vo|<15V;周期:2ms

2.2系统方案分析 迟滞比较器,是将集成运放比较器的输出电压通过反馈网络加到同相端,形成正反 馈,如图2.21(a )所示,待比较电压I 加在反相输入端。在理想情况下,它的比较特性 如图2.11(b )所示。由图可见,它有两个门限电压,分别称为上门限电压OH U 和下门限 电压 OL U ,两者的差值称为门限宽度。 图2.2(a ) 图2.2(b ) 设比较器输出高电平 OH U ,则 OH U 和 ref U 共同加到同相输入端的合成电压为

CCU6测试频率与占空比

1. 根据待测波形频率与占空比计算波形的周期值,正频宽时间,负频宽时间. 2. 选择合适的T12分频比,设置的分频比后时钟分辨率不能导致T12溢出. 例如:80HZ的周期为12.5ms;T12溢出时间设置为25ms.触发上升沿中断,触发下降沿中断,再次触发上升沿中断。假设设置分频比为fclk/8 = 0.333usec, 25,000/0.333 = 0x 1,24FF;超出T12计数范围.分频比选择不合适。Fclk/16 = 0.667, 25,000/0.6667 = 0x927A;T12计数器未溢出满足要求。 3. T12的溢出时间设置为待测波形周期的2倍时间. 4. 根据Dave工具配置工程. 4.1 使能CCU模块 4.2 配置采样引脚 4.3 配置T12定时器 4.4 配置中断 4.5 配置采样模式 4.6 配置函数双寄存器模式四:任意沿采样. CC6N任意沿将CC6nSR中的内容复制到CC6nR中,T12的实际计数值立即保存在映射寄存器CC6nSR 中。第一种计算方法: // USER CODE BEGIN (NodeI0,1) unsigned int HighWidth,LowWidth; // USER CODE END void SHINT_viXINTR10Isr(void) interrupt XINTR10INT { // USER CODE BEGIN (NodeI0,2) unsigned int uiCapRiseL, uiCapFallL,uiCapRiseH, uiCapFallH; // USER CODE END SFR_PAGE(_su3, SST0); // switch to page 3 // CCU6 Node 0 interrupt handling section... 读映射寄存器CC6nSR函数 读通道寄存器CC6nR函数 if (IRCON3 & 0x01) // if CCU6SR0 { IRCON3 &= ~(ubyte)0x01; // USER CODE BEGIN (NodeI0,3) // USER CODE END SFR_PAGE(_cc3, noSST); // switch to page 3 if(CCU6_ISL & 0x01) //if ISL_ICC60R { //capture, compare match rising edge detection an channel 0 SFR_PAGE(_cc0, noSST); // switch to page 0 CCU6_ISRL = 0x01; //clear flag ISL_ICC60R // USER CODE BEGIN (NodeI0,10) SFR_PAGE(_cc1,SST0); uiCapFallH = CCU6_CC60RLH; SFR_PAGE(_cc1,RST0); uiCapRiseH = CCU6_CC60SRLH; LowWidth = 0xFFFF + 1 + uiCapRiseH - uiCapFallH; // USER CODE END } SFR_PAGE(_cc3, noSST); // switch to page 3 if(CCU6_ISL & 0x02) //if ISL_ICC60F { //capture, compare match faling edge detection an channel 0

占空比

占空比 占空比的图例 占空比(Duty Ratio)在电信领域中有如下含义:在一串理想的脉冲周期序列中(如方波),正脉冲的持续时间与脉冲总周期的比值。例如:脉冲宽度1μs,信号周期4μs的脉冲序列占空比为0.25。在一段连续工作时间内脉冲占用的时间与总时间的比值。在CVSD调制(continuously variable slope delta modulation)中,比特“1”的平均比例(未完成)。引申义:在周期型的现象中,某种现象发生的时间与总时间的比。例如,在成语中有句话:「三天打渔,两天晒网」,如果以五天为一个周期,“打渔“的占空比则为0.6。 编辑本段定义 占空比是指高电平在一个周期之内所占的时间比率。方波的占空比为50%,占空比为0.5,说明正电平所占时间为0.5个周期。定义1:如果占空比定义为d=rTc。那么,分量F。为:F.一Ub(2d一1)及肛案sin(n)枷一江。脉宽调制波形同时应能明显看出从一个周期到另一个周期,傅里叶分量的幅值将随着占宽比发生的变化而变化。定义2:Dutycycle=Width(Delay+Width)含步进电机的CCD线阵列式位置传感器支架。传感器是CCD线阵列式位置传感器,它是一种新型的固体成像器件,是在大规模集成电路工艺基础上研制而成的模拟集成电路芯片。定义3:所谓占空比是指压缩机持续开启时间与控制周期之比。在确定占空比时必须满足压缩机两次开启时间间隔大于制冷系统高低压侧平衡所需最小时间。定义4:Ts为脉冲周期,Tw为脉冲宽度,定义τ=TwT's×100τ称为占空比。PWM根据输入信号的大小对脉冲宽度进行调制,使得在一个载波周期内输出占空比是输入的函数。定义5:可见改变电源加在负载上正弦电压波形的个数和关断正弦电压波形个数的比率,称为占空比,(占空比用n表示)。改变占空比可实现交流调压.这种微机控制交流调压法属有级调压,由于级数(对应占空比)可以做得很多,故电压级差可以做得很小。定义6:系统工作原理如下,占空比的设定所谓占空比是指直流电机在一个通电与断电周期中其通电时间所占的比例常用下述公式表示:式中Ti—通电时间。定义7:因此黑色区域是探测器的有效区域,与探测元的窗口面积之比称为占空比,此比率的大小直接影响探测器输出信号的大小。定义8:在忽略开关管T和续流二级管D 的正向压降的情况下:Uo=TONTON+TOFF·Ui式中TON为开关管T的导通时间

多通道可调脉宽脉冲发生器设计

《电子技术应用》2007年第5期本刊邮箱:eta@ncse.com.cn图2单片机和CPLD的硬件连接原理图 高重复频率的固体开关技术是脉冲功率领域研究的重点之一。在兆赫兹重复频率下,适合构成固体开关的功率电子器件有金属氧化物半导体场效应晶体管(MOS-FET)、 砷化镓光导开关(GaAs-PCSS)等。对于将功率MOS-FET器件作为固体开关的脉冲功率源,由于单个功率MOSFET器件的耐压和输出电流能力有限,为了得到更高的电压和更大的电流,需要对大量的功率MOSFET器件进行串联和并联。美国利弗莫尔国家实验室(LLNL)用于产生20kV、400A的脉冲功率源,一共使用了720个功率MOS-FET器件[1]。这些功率MOSFET器件在产生输出脉冲时是 同步触发的,这样就需要有多通道的同步触发信号。 功率MOSFET的开关速度非常快,一般为十几纳秒。因此,对同步输出的触发信号需要的时间抖动要小于MOSFET器件的开关时间, 否则将会引起并联的 MOSFET器件的电流不均匀,导致器件损坏。MOSFET器 件的开关完全是由输入栅极驱动信号决定的,为了能使功率MOSFET器件能在MHz的重复频率下工作,要求触发信号源有很小脉宽的输出能力。本文介绍了一种可用于兆赫兹重复频率的脉冲功率源上作为触发信号的多通道可调脉宽、频率的脉冲发生器的设计。 1系统的组成和工作原理 脉冲发生器的系统结构如图1,整个系统由控制部分和光纤发射电路部分组成。在控制部分中,单片机89S52和可编程逻辑器件(CPLD)ispLSI1032E组成了脉冲产生的逻辑硬件核心。单片机负责接收并解析上位机(PC机)的设置信息,如输出脉冲的宽度,频率和个数,通过运算得 到CPLD所需的分频数,并通过8位总线传输给CPLD,由 CPLD产生多个通道同步输出的脉冲信号。单片机还可以 读取CPLD中关于输出脉冲的设置,处理后返回到上位机作为诊断信息。CPLD的优点是可用I/O口多,可以实现多通道的同步输出。光纤发射电路将每一路控制产生的脉冲信号经驱动增强电路之后,分成12路的同步脉冲,用光纤发射器件以光信号的方式输出。 2硬件设计 控制部分的核心是单片机和CPLD器件,它们之间的接口方式一般有独立方式和总线方式两种。独立方式最大的优点是接口逻辑无须遵循单片机内固定的总线方式的读写时序。总线方式具有编程简单、速度快的优点。本设计采用8位总线方式,图2为硬件连接原理图。设计 多通道可调脉宽脉冲发生器设计 张良,秦玲,刘承俊,章林文 (中国工程物理研究院流体物理研究所,四川绵阳621900) 摘要:一种用于功率MOSFET器件触发信号产生的多通道可调脉宽脉冲发生器。该装置具有控制简单,多个通道时间抖动小的特点。 关键词:信号发生器多通道脉宽可调 29

模拟电子技术课程设计产生正弦波,方波,三角波,且占空比可调,频率可调,幅度可调

模拟电子技术课程设计任务书 一、设计题目:波形发生器的设计(二) 方波/三角波/正弦波/锯齿波函数发生器 二、设计目的 1、研究正弦波等振荡电路的振荡条件。 2、学习波形产生、变换电路的应用及设计方法以及主要技术指标的测试方法。 三、设计要求及主要技术指标 设计要求:设计并仿真能产生方波、三角波及正弦波等多种波形信号输出的波形发生器。 1、方案论证,确定总体电路原理方框图。 2、单元电路设计,元器件选择。 3、仿真调试及测量结果。 主要技术指标 1、正弦波信号源:信号频率范围20Hz~20kHz 连续可调;频率稳定度较高。信号幅度可以 在一定范围内连续可调; 2、各种输出波形幅值均连续可调,方波占空比可调; 3、设计完成后可以利用示波器测量出其输出频率的上限和下限,还可以进一步测出其输出 电压的范围。 四、仿真需要的主要电子元器件 1、运算放大电路 2、滑线变阻器 3、电阻器、电容器等 五、设计报告总结(要求自己独立完成,不允许抄袭)。 1、对所测结果(如:输出频率的上限和下限,输出电压的范围等)进行全面分析,总结振荡电路的振荡条件、波形稳定等的条件。 2、分析讨论仿真测试中出现的故障及其排除方法。 3、给出完整的电路仿真图。 4、体会与收获。

1.正弦波输出电路 ,方波输出电路

,在正弦波的基础上通过LM339AD比较器稳定输出方波,可通过R15小幅调节占空比,但方波幅值不可调。R15调节范围0/100~~2/100,占空比约为0/100~~50/100之间,通过正弦波发生器中的R13可大幅度调节占空比。

3.三角波和锯齿波发生器 通过LM741CN运放,且由R18和C3组成积分电路,在方波基础上输出三角波,通过调节方波占空比可以产生锯齿波,当方波占空比为50/100时,输出三波。 4.三种波形的综合输出 一.正弦波输出波形

可控脉冲发生器的设计

可控脉冲发生器的设计 一、 实验目的 1、 了解可控脉冲发生器的实现机理。 2、 学会用示波器观察FPGA 产生的信号。 3、 学习用VHDL 编写复杂功能的代码。 二、 实验原理 脉冲发生器就是要产生一个脉冲波形,而可控脉冲发生器则是要产生一个周期和占空比可变的脉冲波形。可控脉冲发生器的实现原理比较简单,可以简单的理解为一个计数器对输入的时钟信号进行分频的过程。通过改变计数器的上限值来达到改变周期的目的,通过改变电平翻转的阈值来达到改变占空比的目的。下面举个简单的例子来说明其工作原理。 假如有一个计数器T 对时钟分频,其计数的范围是从0~N ,另取一个 M (0≤M ≤N ),若输出为Q ,那么Q 只要满足条件 时,通过改变N 值,即可改变输出的脉冲波的周期;改变M 值,即可改变脉冲波的占空比。这样输出的脉冲波的周期和占空比分别为: 三、 实验内容 编写实现可控脉冲发生器程序,通过脉冲周期和占空比改变实现不同脉冲的输出。用Quartu s 软件对设计进行编译、综合、仿真,给出相应的时序仿真波形和硬件电路图。 四、 实验程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity exp10 is port( Clk : in std_logic; --时钟输入 Rst : in std_logic; --复位输入 ???≤≤<≤=N T M M T Q 001%1001 )1(?+=+=N M T N CLOCK 占空比周期

占空比可调的方波发生器

目录 一、课程设计目的 (2) 二、课程设计正文 (2) 2.1总体论述 (2) 2.2方案选型 (2) 2.2.1总体方案 (2) 2.2.2各单元电路方案及集成电路 (2) 2.3电路原理图 (4) 2.4运行详细描述 (8) 2.5制作调试过程 (9) 2.6器件清单 (14) 三、实验设计总结或结论 (15) 四、参考文献 (15)

一、课程设计目的 1、掌握电子系统的一般设计方法。 2、理解占空比可调的方波发生器的设计原理,掌握占空比的设计原理和计算。 3、提高综合应用所学只是来指导实践的能力。 二、课程设计正文 2.1总体论述 2.1.1设计任务 1、根据技术要求和现有开发环境,分析课设题目; 2、设计系统实现方案; 3、设计绘制电路原理图并选择元器件; 4、焊接电路、调试; 5、记录结果、修改并完善设计; 6、编写课程设计报告。 2.1.2、技术要求 (1)设计要求:设计一方波产生电路。输出要求:占空比可调;输出方波电压值:8v<|V0|<15v;振荡周期:2ms

图(2)555定时器内部结构 图(3)555定时器的输出波形 接通V CC后瞬间,V CC通过R 对C充电,当u c上升到2V CC/3时,将触发器置0,u o=0,放电管T导通,C通过T放电,电路进入稳态。 u I到来时,因为u I<V CC/3,使u O又由0变为1,电路进入暂稳态。放电管T截止,V CC经R对C充电。直到u C上升到2V CC/3时,u O=0,T导通,C放电,电路恢复到稳定

开关电源占空比的选择与开关变压器初次级线圈匝数比的计算

开关电源占空比的选择与开关变压器初次级线圈匝数比的计算 作者:陶显芳发布时间:2011-07-04文章来源:华强北·电子市场价格指数浏览量:50466 下面是开关电源设计务必掌握的知识 1、开关电源占空比的选择与计算 2、开关变压器初次级线圈匝数比的计算 希望从事开关电源设计的工程师对此感兴趣 概述:占空比是脉冲宽度调制(PWM)开关电源的调制度,开关电源的稳压功能就是通过自动改变占空比来实现的,开关电源的输出电压与占空比成正比,开关电源输出电压的变化范围基本上就是占空比的变化范围。由于开关电源输出电压的变化范围受到电源开关管击穿电压的限制,因此,正确选择占空比的变化范围是决定开关电源是否可靠工作的重要因素;而占空比的选择主要与开关电源变压器初、次级线圈的匝数比有关,因此,正确选择开关电源变压器初、次级线圈的匝数比也是一个非常重要的因素。 开关电源占空比和开关电源变压器初、次级线圈的匝数比的正确选择涉及到对开关电源变压器初、次级线圈感应电动势的计算。因此,下面我们先从分析开关电源变压器初、次级线圈感应电动势开始。 1.1占空比的定义 占空比一般是指,在开关电源中,开关管导通的时间与工作周期之比,即: (1)式中:D为占空比,Ton为开关管导通的时间,Toff为开关管关断的时间,T为开关电源的工作周期。 对于一个脉冲波形也可以用占空比来表示,如图1所示。 在反激式开关电源中,开关管导通的时候,变压器次级线圈是没有功率输出的,如果把(1)中的D记为D1,(2)式中的D记为D2,则D1、D2有下面关系: 1.2开关变压器初次级线圈的输出波形

图2a是输出电压为交流的开关电源工作原理图。为了便于分析,我们假说变压器初次级线圈的变压比为1:1(即N1=N2,L1=L2),当开关K又导通转断开时,变压器初级、次 级线圈产生感应电动势为: (6)式中:为变压器初级线圈的励磁电流,由此可知,变压器初、次级线圈产生 的反电动势主要是由励磁电流产生的。我们从(5)可以看出,当变压器初、次级线圈的负载电阻R很大或者开路的情况下,变压器初、次级线圈产生的感应电动势峰值是非常高的,如果这个电压直接加到电源开关管两端,电源开关管一定会被击穿。 为了便于分析,我们引进一个半波平均值的概念,我们把Upa、Upa-分别定义为变压器初、次级线圈感应电动势正、负半周的半波平均值。半波平均值就是把反电动势等效成一 个幅度等于Upa或Upa-的方波,如图2b中的Upa-所示。

基于VHDL的可控脉冲发声器 设计

可控脉冲发生器的设计 1.设计要求: 实现周期、占空比均可调的脉冲发生器。 (1)采用1khz 的工作时钟; (2)脉冲周期0.5s~6s ,占空比10%~90%; (3)可初始化:周期2.5s ,占空比50%; 2.实验目的 1、了解可控脉冲发生器的实现机理。 2、学会用示波器观察FPGA 产生的信号。 3、学习用VHDL 编写复杂功能的代码。 3.实验原理: 脉冲发生器就是要产生一个脉冲波形,而可控脉冲发生器则是要产生一个周期和占空比可变的脉冲波形。可控脉冲发生器的实现原理比较简单,可以简单的理解为一个计数器对输入的时钟信号进行分频的过程。通过改变计数器的上限值来达到改变周期的目的,通过改变电平翻转的阈值来达到改变占空比的目的。下面举个简单的例子来说明其工作原理。 假如有一个计数器T 对时钟分频,其计数的范围是从0~N ,另取一个 M (0≤M ≤N ),若输出为Q ,那么Q 只要满足条件 时,通过改变N 值,即可改变输出的脉冲波的周期;改变M 值,即可改变脉冲波的占空比。这样输出的脉冲波的周期和占空比分别为: 4.实验内容: 编写实现可控脉冲发生器程序,通过脉冲周期和占空比改变实现不同脉冲的输出。用Quartu s 软件对设计进行编译、综合、仿真,给出相应的时序仿真波形和硬件电路图。 ???≤≤<≤=N T M M T Q 001%1001)1(?+=+=N M T N CLOCK 占空比周期

5.程序设计及仿真: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity exp10 is port( Clk : in std_logic; --时钟输入 Rst : in std_logic; --复位输入 NU,ND : in std_logic; --输入:控制频率的改变 MU,MD : in std_logic; --输入:控制占空比的改变 Fout : out std_logic --波形输出 ); end exp10; architecture behave of exp10 is signal N_Buffer,M_Buffer : std_logic_vector(10 downto 0); signal N_Count :std_logic_vector(10 downto 0); signal clkin : std_logic; signal Clk_Count : std_logic_vector(12 downto 0); --产生一个低速时钟,用于按键判断 begin process(Clk) --计数器累加 begin if(Clk'event and Clk='1') then if(N_Count=N_Buffer) then N_Count<="00000000000"; else N_Count<=N_Count+1; end if; end if; end process; process(Clk) --波形判断

脉冲宽度调制(PWM)技术

脉冲宽度调制(PWM)技术 在电力电子变流器控制系统中,对于控制电路的要求往往是除能够控制负载的加电与断电外,还应该能够控制加载到负载上的电压高低及功率大小。在大功率电力电子电路中,控制加载至负载上电压及功率的实用方法就是脉冲宽度调制(pulse width modulation, PWM)。 1. 面积等效原理 在控制理论中,有一个重要的原理,即冲量等效原理:大小、波形不相同的窄脉冲变量(冲量)作用在具有惯性的环节上时,只要这些变量对时间的积分相等,其作用的效果将基本相同。这里所说的效果基本相同是指惯性环节的输出响应波形基本相同。例如,下图1示出的三个窄脉冲电压波形分别为矩形波、三角波和正弦波,但这二个窄脉冲电压对时间的积分相等,或者说它们的面积相等。当这三个窄脉冲分别作用在只有惯性的同一环节上时,其输出响应基本相同。因此,冲量等效原理也可以称为面积等效原理。 从数学角度进行分析,对上图1所示的三个窄脉冲电压波形进行傅里叶变换,则其低频段的特性非常相近,仅在高频段有所不同,而高频段对于具有惯性负载的电路影响非常小。由此进一步证明了面积等效原理的正确性。 2. 脉冲宽度调制技术

依据面积等效原理,在电路中可以利用低端电源开关或高端电源开关,以一定频率的导通和截止连续切换,使电源电压U i以一系列等幅脉冲(或称为矩形波)的形式加载到负载上,加载在负载上的电源电压Uo波形如图2所示。 图2所示的矩形波的电压平均值: 此式表明在一个脉冲周期内,电压的平均值与脉冲的占空比是成正比的,于是,可以通过改变脉冲的占空比来调整加载到负载上的电压大小。当占空比小时,加载到负载上的平均电压就低,即加载到负载上的功率小;而占空比大时,加载到负载上的平均电压就高,加载到负载上的功率大。这种通过等幅脉冲调节负载平均电压及功率的方法称为脉冲宽度调制,也称为斩波控制。 采用脉冲宽度调制方式为负载供电,由于供电电压是脉动的,势必会产生出各种谐波。为了明确脉冲宽度调制技术对负载产生的影响,且考虑此分析结果便于以后章节引用,可将图2所示的等幅脉冲序列描述为 式中,G(t)为开关函数,其波形如图3所示。 在此式中,第一项DUi是等幅脉冲序列的直流成分,也即输出电压的平均值。可见,输出电

PWM_按键控制灯亮度(改变占空比)

PWM 按键控制灯亮度(改变占空比) 功能说明:PWM,通过改变占空比,PWM_T/100, 这里100是周期,每个按键都会给PWM_T一个定值,这样就改变了输出波形。 仿真运行后,点击debug->4. digital oscillicope(最后一项) 就能够看到波形了。 观察低电平占的比例10% ,这里WPM-T=10 10/100=10%

观察低电平占的比例60% ,这里WPM-T=60 60/100=60% /**************************************************** 6个按键决定6个亮度 占空比:PWM_T/100,越大越亮 ****************************************************/ #include #define uInt unsigned int #define uchar unsigned char uchar PWM_T = 0; //占空比控制变量

sbit c7=P3^7; //6个按键,决定输出PWM_T值 sbit c6=P3^6; sbit c5=P3^5; sbit c4=P3^4; sbit c3=P3^3; sbit c2=P3^2; /**************************************************** 主程序 ****************************************************/ void main(void) { uInt n; TMOD=0x02; //定时器0,工作模式2,8位定时模式 TH0=210; //写入预置初值(取值1-255,数越大PWM频率越高) TL0=210; //写入预置值(取值1-255,数越大PWM频率越高) TR0=1; //启动定时器 ET0=1; //允许定时器0中断 EA=1; //允许总中断 P1=0xff; //初始化P1,输出端口 P0=0xff; //初始化P0 while(1) //PWM周期100,高电平100- PWM_T,低电平PWM_T,低电平工作{ for(n=0;n<200;n++); //延时,取值0-65535,数字越大变化越慢 if(!c7||!c6||!c5||!c4||!c3||!c2) //通过按键改变占空比 {

设计并实现频率可控的正弦波信号发生器 单片机课设

1Proteus软件简介 Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows 操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点是:①实现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。②支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、A VR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。③提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。④具有强大的原理图绘制功能。总之,该软件是一款集单片机和SPICE分析于一身的仿真软件,功能极其强大。 特点:支持ARM7,PIC ,A VR,HC11以及8051系列的微处理器CPU模型,更多模型正在开发中; 交互外设模型有LCD显示、RS232终端、通用键盘、开关、按钮、LED等; 强大的调试功能,如访问寄存器与内存,设置断点和单步运行模式; 支持如IAR、Keil和Hitech等开发工具的源码C和汇编的调试; 一键“make”特性:一个键完成编译与仿真操作; 内置超过6000标准SPICE模型,完全兼容制造商提供的SPICE模型; DLL界面为应用提供特定的模式; 14种虚拟仪器:示波器、逻辑分析仪、信号发生器、规程分析仪等; 高级仿真包含强大的基于图形的分析功能:模拟、数字和混合瞬时图形;频率;转换;噪声;失真;付立叶;交流、直流和音频曲线; 模拟信号发生器包括直流、正旋、脉冲、分段线性、音频、指数、单频FM;数字信号发生器包括尖脉冲、脉冲、时钟和码流; 集成PROTEUS PCB设计形成完整的电子设计系统。 Protues软件与Keil uVision的结合 对于初次使用Protues软件的人可能还不知道如何设置,现在把设置步骤简介如下,仅供参考(本文章只讨论在单机上结合,在两个联网机器使用由于篇幅限制不在此讨论):设置

占空比可调的方波函数发生器设计

1.项目的目的 电子电路仿真项目是通信工程专业教学体系中一个实践性很强的环节。它将模拟电子线路(低频部分和高频部分)、数字逻辑电路等课程的理论与实践有机结合起来,加强我们实验基本技能的训练,培养我们的实际动手能力、理论联系实践的能力。通过这次课程设计让我们掌握电子电路系统的设计、制作、调试、仿真的方法。 2.项目设计正文 2.1原始数据及主要任务 1、根据技术要求和现有开发环境,分析项目题目; 2、设计项目实现方案; 3、设计绘制电路原理图并选择元器件; 4、使用ewb软件进行仿真; 5、记录仿真结果、修改并完善设计; 6、设计实现电路功能; 7、编写项目设计报告。 2.2技术要求: (1)设计要求:设计一方波产生电路。要求占空比可调;输出方波电压值:8V<|V o|<15V;振荡周期:2ms

占空比可调的脉冲发生器

沈阳航空航天大学 课程设计报告 课程设计名称:微机系统综合课程设计课程设计题目:占空比可调的脉冲发生器 院(系):计算机学院 专业:计算机科学与技术 班级: 学号: 姓名: 指导教师:张维君 完成日期:2012年7月15日

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (1) 1.1课程设计的内容和要求 (1) 1.2课程设计原理 (1) 1.3方案设计 (1) 1.4方案论证 (2) 1.5设计环境 (2) 第2章详细设计方案 (3) 2.1模块设计 (3) 2.2程序流程图 (4) 2.3硬件连线图 (6) 第3章调试及结果分析 (7) 3.1调试步骤及方法 (7) 3.2实验结果 (7) 3.3结果分析 (8) 参考文献 (9) 附录(源程序) (10)

沈阳航空航天大学课程设计报告错误!未指定书签。第 1章总体设计方案 第1章总体设计方案 1.1课程设计的内容和要求 一、课程设计内容: 具体内容如下: 1.用8255和8253产生脉宽可调的脉冲信号; 2.用实验箱上键盘中的两个按键调节脉冲; 3.按脉宽增加键脉宽逐渐增大,按脉宽减小键脉宽逐渐减小; 二、课程设计要求: 1.认真查阅相关资料; 2.独立设计、调试并通过指导教师现场验收; 3.撰写课程设计报告。 1.2 课程设计原理 根据课设要求,要实现通过键盘按键调节脉宽的脉冲信号发生器。本次设计中主要使用了8259可编程中断控制器,8255可编程并行接口芯片,8279键盘/显示芯片,8253定时/计数器以及部分连线来实现以上功能。利用8253芯片产生一定频率的脉冲信号,并用8255芯片以程序查询方式,检测该信号上高、低电平的持续时间,还要利用8259芯片的中断信号扫描信号,通过改变高电平的持续时间来调节占空比。最后,应用8279芯片将结果显示到数码管上。 1.3 方案设计 根据本次课程设计要求,用8253芯片计数器0产生低频率的方波信号,然后叠加一个矩形波,使之成为一个改变分频就可以改变占空比的矩形波。再将该矩形波作为计数器1产生的输入信号,使计数器1产生脉宽可调的脉冲信号,并把该脉冲信号接到8255的一个引脚(PB0),运用程序查询方式循环检测这个引脚高、低电平持续时间。利用芯片8259的中断功能循环扫描芯片8253计数器1的分频数,通过分频数计算占空比的值。在BX中存放分频数NUM,在CX中

占空比控制电磁阀

项目五 Project 信号与控制电路 项目描述 占空比在汽车电子控制中是比较常用的控制方式,如电磁阀控制,电机转速控制,理解占空比调制和控制原理对电路设计和维修都有很重要的帮助。本项目通过向同学们介绍占空比的定义和控制特点,在实训中观测波形和控制负载的变化来理解和掌握占空比控制技术。 学习任务一 占空比控制电磁阀 在维修厂一位老师傅搞不清楚占空比控制是怎么回事,你能够回答他吗? 电磁阀工作原理 占空比控制电磁阀 什么是占空比 占空比控制的特点 电磁阀的检测 占空比控制电磁阀电 路结构与波形分析 占空比控制电磁阀在 汽车上的应用与检修 5 学 习 目 标 ◎ 知识目标 (1)理解占空比调制原理。 (2)理解电磁阀控制原理和方式。 ◎ 技能目标 (1)初步掌握占空比控制负载的电路连接特点 (2)初步掌握占空比控制电磁阀的波形分析。 ◎ 素质目标 (1)规范课堂6S 管理。 (2)养成团队协作的好习惯。 (3)养成独立思考问题的好习惯。 学习内容 学习任务导入 建议完成本学习任务的时间为4课时。 引导问题1 什么是占空比? 获取信息

占空比,在一串理想的脉冲周期序列中(如方波),正脉冲的持续时间与脉冲总周期的比值。 如图5-1所示,例如: 脉冲宽度1μs ,信号周期4μs 的脉冲序列占空比为0.25。 在成语中有句话:三天打渔,两天晒网,如果以五天为一个周期,“打渔“的占空比则为0.6。 在汽车电子电路中,通常要求控制的负载功率要变化,即是要 改变加在负载两端的电压和流经负载的电流要变化,以满足不同的工况。要实现这种控制方式有两种方法,改变电路电阻(在电路中串联电阻)或控制占空比的方法来实现。 我们根据欧姆定律可知,在电路中串联入电阻可以改变负载的电压和电流,从而改变了负载的功率,但此时电阻会产生分压,流过的电流肯定会有很大的功率损耗,早期汽车空调鼓风机的控制方式就是采用这种串电阻的方式。 通过控制占空比可在无功率损失的情况下对电流进行控制。占空比信号类似转向灯的控制信号,转向灯每次点亮约半秒钟,然后熄灭约半秒钟,这称作一个周期。转向灯控制信号和占空比信号的不同在于: 信号频率,即电压切换的速率:占空比信号的频率比转向灯控制信号的频率高很多。 电流通、断时间的比例:占空比信号的通、断(高、底)时间 引导问题2 占空比有何特点? 图5-1 占空比波形

555芯片设计占空比可调的方波信号发生器

占空比可调的方波信号发生器 三、实验原理: 1、555电路的工作原理 (1)555芯片引脚介绍 图1 555电路芯片结构和引脚图 555定时器是一种应用极为广泛的中规模集成电路,该电路使用灵活、方便,只需外接少量的阻容原件就可以构成单稳、多谐和施密特触发器。因而广泛用于信号的产生、变换、控制和检测。 1脚:外接电源负极或接地(GND)。 2脚:TR触发输入。 3脚:输出端(OUT或Vo)。 4脚:RD复位端,移步清零且低电平有效,当接低电平时,不管TR、TH输

入什么,电路总是输出“0”。要想使电路正常工作,则4脚应与电源相连。 5脚:控制电压端CO(或VC)。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF 电容接地,以防引入干扰。 6脚:TH 高触发端(阈值输入)。 7脚:放电端。 8脚:外接电源VCC (VDD )。 (2)555功能介绍 555定时器的功能主要是由两个比较器C1和C2的工作状况决定的。由图1可知,当V6>VA 、V2>VB 时,比较器C1的输出VC1=0、比较器C2的输出VC2=1,基本RS 触发器被置0,TD 导通,同时VO 为低电平。 当V6VB 时,VC1=1、VC2=1,触发器的状态保持不变,因而TD 和输出的状态也维持不变。 当V6V A V B >V B 不变 导通

延时可控高压脉冲发生器的设计

延时可控高压脉冲发生器的设计 延时可控高压脉冲发生器的设计 1引言 以往研制的高压脉冲发生器采用V型管作为高压脉冲形成级,该管为冷阴极结构形式,一般工作电压在10~15kV范围内,输出高压脉冲相对外触发的延时随直流供电电压的不同而有较大变化,抖动也较大,且长时间工作后,延时和抖动都要增大。 用于触发Marx发生器及高电压脉冲触发装置需要更高的高压触发脉冲,且需在5~30kV范围内连续可调,具有前沿快、延时准确稳定、抖动小、抗干扰能力强等性能。 设计高压脉冲形成级是本机的重点,关键是选择能满足本机各项技术指标要求的高电压、大电流、快速开关管。 为了提高触发系统的延时精度,采用精度为10ns的数字延时单元,延时在10ns~99μs范围,步进10ns,以CPU8031为控制核心的集成电路实现人机对话,增强可靠性和先进性。 直流高压供电采用DC/DC变换技术,封装在金属盒内,实现了电源小型化,增强了抗干扰能力。 2发生器的构成及其工作原理 2.1高压脉冲发生器结构 延时可控高压脉冲发生器结构框图,是由外触发控制电路、单片机控制电路、延时电路、驱动电路、直流高压电路及高压脉冲形成及

输出电路等组成。 图1高压脉冲发生器组成框图 外触发控制电路是将外触发信号通过内部的比较器进行电压比较,再通过光电隔离器使外触发信号的地与控制线路的地分开,以屏蔽和减弱外界的电磁干扰及地干扰,增强本机的抗干扰能力。 单片机控制电路、延时电路是通过单片机实现人机对话,来预置延时电路的延时时间,达到延时可控目的。 驱动电路是将延时电路输出幅度较低的脉冲信号变为较高幅度的脉冲信号,用以驱动后级高压脉冲形成电路。 直流高压电路采用DC/DC变换,低压24V经振荡电路产生频率较高交流信号,再经高频脉冲变压器升压及多级倍压输出5~30kV连续可调的直流高压,为高压脉冲形成电路供电。 高压脉冲形成输出电路是本机重要部分,主要是形成和输出负高压脉冲。 2.2工作原理 由键盘键入所要求的延时时间,通过8031芯片内部程序控制延时器所要求的动作时间。当外触发信号输入时,外触发控制电路开始工作,输出一个脉冲信号触发延时电路,延时器开始计数,当达到所设定的时间时,延时器停止工作,同时输出一个脉冲信号,触发驱动电路,使驱动电路输出一个正脉冲信号,使开关管S导通,储能电容C经S向负载电阻放电(见图2),从而在与同轴电缆相匹配的负载

占空比可调方波发生器

燕山大学 课程设计说明书 题目:低通FIR滤波器设计与应用学院(系):电气工程学院 年级专业: 10级精仪二班 学号: 学生姓名:王舟济 指导教师:孟宗 教师职称:副教授

电气工程学院《课程设计》任务书 院(系):电气工程学院基层教学单位:仪器科学与工程系

说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 年月日

目录 摘要.................................................................第1章绪论.......................................................... 1.1设计内容..................................................... 1.2设计基本要求.................................................第2章总体方案论证与设计.......................................... 2.1方案论述..................................................... 2.2方波发生器的硬件组成框图..................................... 第3章方波发生器原理................................................................... .............................. 3.1方波发生器的原理与功能................................................................... ............. 3.2键盘控制原理................................................................... ................................. 3.3程序框图................................................................... ......................................... 3.4方波波形显示................................................................... ......................... 第4章系统硬件设计 ................................................................

相关主题