搜档网
当前位置:搜档网 › 电压比较器

电压比较器

电压比较器
电压比较器

实验十集成运放基本应用之三——电压比较电路

姓名:班级:学号:实验时间:

一、实验目的

1、掌握比较器的电路构成及特点

2、学会测试比较器的方法

二、实验原理

1、图1所示为一最简单的电压比较器,UR为参考电压,输入电压Ui加在反相输入端。图1(b)为(a)图比较器的传输特性。

(a) 图1 电压比较器 (b)

当Ui

当Ui>UR时,运放输出低电平,Dz正向导通,输出电压等于稳压管的正向压降UD,即:Uo=-UD。

因此,以UR为界,当输入电压Ui变化时,输出端反映两种状态。高电位和低电位。

2、常用的幅度比较器有过零比较器、具有滞回特性的过零比较器(又称Schmitt触发器)、双限比较器(又称窗口比较器)等。

(1)、图2过零比较器

D1D2为幅稳压管。信号从运放的反相端输入,参考电压为零。当u1>0时,u0=-(Uz+U D),当u1<0时,u0=+(Uz+U D)

(a) 图2 过零比较器(b)

(2)、图3为滞回比较器。

过零比较器在实际工作时,如果Ui恰好在过零值附近,则由于零点漂移的存在,Uo 将不断由一个极限值转换到另一个极限值,这在控制系统中,对执行机构将是很不利的。为此就需要输出特性具有滞回现象。如图3所示:

(a) (b)

图3 滞回比较器

从输出端引入一个电阻分压支路到同相输入端,若Uo 改变状态,U∑ 点也随着改变点位,使过零点离开原来位置。当Uo 为正(记作U D )U∑=[ R2/(R2+ R f )]* U D ,则当UD> U∑后,Uo 再度回升到UD,于是出现图(b)中所示的滞回特性。- U∑ 与U∑ 的差别称为回差。改变R2 的数值可以改变回差的大小。

三、实验设备与器件

1、±12V直流电源

2、直流电压表

3、函数信号发生器

4、交流毫伏表

5、双踪示波器

6、运算放大器μA741×2

7、稳压管2CW231×1 8、二极管4148×2

9、电阻器等

四、实验内容

1、过零电压比较器

(1)如图5所示在运放系列模块中正确连接电路,并接通±12V电源。

图5 过零比较器

(2)测量当Ui 悬空时,Uo 的值。

(3)调节信号源,使输出频率为500Hz,峰峰值为2V 的正弦波信号,并输入至

Ui 端,用示波器观察比较器的输入Ui 与输出Uo 波形并记录.

(4)改变信号发生器的输出电压Ui 幅值,用示波器观察Uo 变化,测出电压传输特性曲线。

实验得 Ui 悬空时, Uo=6.667V ;

Ui 与输出Uo 波形(输入峰峰值为10V)

Ui 与输出Uo 波形(输入峰峰值为2V)

Ui 与输出Uo 波形(输入峰峰值为1V)

由以上三图可得传输特性曲线为

分析:

当Ui<0 时,由于集成运放的输出电压Uo'=+Uom,使稳压管D2 工作在稳压状态(两只稳压管的稳定电压均小于集成运放的最大输出电压Uom),所以输出电压Uo=Uz;

当Ui>0 时,由于集成运放的输出电压Uo'=-Uom,使稳压管D1工作在稳压状态,所以输出电压Uo=-Uz。

电路图中所选的稳压管的稳压电压为6V,但实际测出的电压输出值

6.667V,略大于此值。

当Ui<0 时,稳压管D2 工作在稳压状态,稳压管D1 工作在正向导通状态,所以使输出电压Uo=Uz+UD(UD 为稳压管的正向导通电压,约为0.7V),因而实际测量值略高于稳压管的稳压值。

2、反相滞回比较器

(1)如图6所示正确连接电路,打开直流开关,U i接(+5/-5)V,以双踪示波器同时观察U i, Uo的直流电位,细心调节U i电压,测出Uo由+Uomax跳变为-Uomax时U i的临界值,此为下门限电平。

(2)同上,测出测出Uo由-Uomax跳变为+Uomax时U i的临界值,此为上门限电平。

(3)U i接500Hz,峰峰值为2V的正弦信号,用双踪示波器观察U i—Uo波形。

(4)将分压支路100k欧电阻改为200k欧,重复上述实验,测定传输特性

图6 反相滞回比较器

Ui 与输出Uo 波形(200K Uo=6.658V)

其电压传输特性如下图所示(100K)

分析:

集成运放的反向输入端电位U N=Ui,同向输入端电位U P=R2Uz/(R2+R f),令U N=U P 得到阈值电压± U TH=± R2Uz/(R2+R f)。假设Ui< -U TH,那么U N 一定小于U P,因而Uo=+Uz,所以U P=+U TH。只有当输入电压Ui 增大到+ U TH,再增大一个无穷小量时,输出电压Uo 才会从+ U TH 跃变为-U TH。同理,假设Ui>-U TH,那么U N 一定大于U P,因而Uo=- Uz,所以U P=-U TH。只有当输入电压Ui 减小到-U TH,再减小一个无穷小量时,输出电压Uo 才会从-U TH 跃变为+U TH。所以得到如上图所示的电压传输特性曲线。

3、同向滞回比较器

(1)连接图7 所示实验电路,接通直流电源,测出Uo 由高电平变为低电平时的阈值(2)参照2,自拟实验步骤及方法

(3)将结果与2比较

图7 同相迟滞比较器

由实验可得 (Rf=100K,Uz=6.7V)

Ui 与输出Uo 波形(100K Uo=6.707V)

其电压传输特性如下图所示

分析:

集成运放的反向输入端电位U N=0,阈值电压± U TH=± R1Uz/R f。假设Ui< -U TH,那么U N 一定大于U P,因而Uo=- Uz,当输入电压Ui 增大到+ U TH,再增大一个无穷小量时,输出电压Uo 才会从-U TH 跃变为+U TH。同理,假设Ui>U TH,那么U N一定小于U P,因而Uo=+Uz,当输入电压Ui 减小到-U TH,再减小一个无穷小量时,输出电压Uo 才会从+U TH 跃变为-U TH。所以得到如上图所示的电压传输特性曲线。

五、实验总结

1、过零比较器

过零比较器被用于检测一个输入值是否是零。原理是利用比较器对两个输入电压进行比较。两个输入电压一个是参考电压Vr,一个是待测电压Vu。一般Vr从正相输入端接入,Vu从反相输入端接入。根据比较输入电压的结果输出正向或反向饱和电压。当参考电压已知时就可以得出待测电压的测量结果,参考电压为零时即为过零比较器。

用比较器构造的过零比较器存在一定的测量误差。当两个输入端的电压差与开环放大倍数之积小于输出阈值时探测器都会给出零值。例如,开环放大倍数为106,输出阈值为6v时若两输入级电压差小于6微伏探测器输出零。这也可以被认为是测量的不确定度。

2、迟滞比较器

迟滞比较器是一个具有迟滞回环传输特性的比较器。在反相输入单门限电压比较器的基础上引入正反馈网络,就组成了具有双门限值的反相输入迟滞比较器。由于反馈的作用这种比较器的门限电压是随输出电压的变化而变化的。它的灵敏度低一些,但抗干扰能力却大大提高。

3、窗口比较器

电路由两个幅度比较器和一些二极管与电阻构成,电路及传输特性图如图。高电平信号的电位水平高于某规定值VH的情况,相当比较电路正饱和输出。低电平信号的电位水平低于某规定值VL的情况,相当比较电路负饱和输出。该比较器有两个阈值,传输特性曲线呈窗口状,故称为窗口比较器。

比较器应用:

可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。

常见电压比较器分析比较

常见电压比较器分析比较 电压比较器通常由集成运放构成,与普通运放电路不同的是,比较器中的集成运放大多处于开环或正反馈的状态。只要在两个输入端加一个很小的信号,运放就会进入非线性区,属于集成运放的非线性应用范围。在分析比较器时,虚断路原则仍成立,虚短及虚地等概念仅在判断临界情况时才适应。 一、零电平比较器(过零比较器) 电压比较器是将一个模拟输入信号ui与一个固定的参考电压UR进行比较和鉴别的电路。 参考电压为零的比较器称为零电平比较器。按输入方式的不同可分为反相输入和同相输入两种零电位比较器,如图1(a)、(b)所示 图1 过零比较器 (a)反相输入;(b)同相输入 通常用阈值电压和传输特性来描述比较器的工作特性。 阈值电压(又称门槛电平)是使比较器输出电压发生跳变时的输入电压值,简称为阈值,用符号UTH表示。

估算阈值主要应抓住输入信号使输出电压发生跳变时的临界条件。这个临界条件是集成运放两个输入端的电位相等(两个输入端的电流也视为零),即U+=U–。对于图1(a)电路,U–=Ui, U+=0, UTH=0。 传输特性是比较器的输出电压uo与输入电压ui在平面直角坐标上的关系。 画传输特性的一般步骤是:先求阈值,再根据电压比较器的具体电路,分析在输入电压由最低变到最高(正向过程)和输入电压由最高到最低(负向过程)两种情况下,输出电压的变化规律,然后画出传输特性。 二、任意电平比较器(俘零比较器) 将零电平比较器中的接地端改接为一个参考电压UR(设为直流电压),由于UR的大小和极性均可调整,电路成为任意电平比较器或称俘零比较器。

图2 任意电平比较器及传输特性 (a)任意电平比较器;(b)传输特性 图3 电平检测比较器信传输特性 (a)电平检测比较器;(b)传输特性 电平电压比较器结构简单,灵敏度高,但它的抗干扰能力差。也就是说,如果输入信号因干扰在阈值附近变化时,输出电压将在高、低两个电平之间反复地跳变,可能使输出状态产生误动作。为了提高电压比较器的抗干扰能力,下面介绍有两个不同阈值的滞回电压比较器。 三、滞回电压比较器 滞回比较器又称施密特触发器,迟滞比较器。这种比较器的特点是当输入信号ui逐渐增大或逐渐减小时,它有两个阈值,且不相等,其传输特性具有“滞回”曲线的形状。 滞回比较器也有反相输入和同相输入两种方式。

电压比较器原理介绍

一、电压比较器原理 电压比较器是集成运放非线性应用电路,常用于各种电子设备中,那么什么是电压比较器呢? 它将一个模拟量电压信号和一个参考固定电压相比较,在二者幅度相等的附近,输出电压将产生跃变,相应输出高电平或低电平。比较器可以组成非正弦波形变换电路及应用于模拟与数字信号转换等领域。 图1所示为一最简单的电压比较器,UR为参考电压,加在运放的同相的输入端,输入电压ui加在反相的输入端。 图1电压比较器原理图(a)及传输特性(b) (a)电路图 (b)传输特性当ui<U R时,运放输出高电平,稳压管Dz反向稳压工作。输出端电位被其箝位在稳压管的稳定电压U Z,即 u O=U Z 当ui>U R时,运放输出低电平,DZ正向导通,输出电压等于稳压管的正向压降U D,即 uo=-U D 因此,以U R为界,当输入电压ui变化时,输出端反映出两种状态,高电位和低电位。 表示输出电压与输入电压之间关系的特性曲线,称为传输特性。图1(b)为(a)图比较器的传输特性。 常用的电压比较器有过零电压比较器、具有滞回特性的过零比较器、滞回电压比较器,窗口(双限)电压比较器。 二、集成电压比较器简介 作用:可将模拟信号转换成二值信号,即只有高电平和低电平两种状态的离散信号。应用:作为模拟电路和数字电路的接口电路。 特点:比集成运放的开环增益低,失调电压大,共模抑制比小;但其响应速度快,传输延迟时间短,而且不需外加限幅电路就可直接驱动TTL、CMOS和ECL等集成数字电路;有些芯片带负载能力很强,还可直接驱动继电器和指示灯(例如LM311)。 三、电压比较器的应用 电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+”端) 及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压V A,反相端输入V B。V A和V B的变化如图1(b)所示。

一种高性能的CMOS电压比较器设计

【关键词】电压比较器高增益低功耗失调电压 模拟集成电路中比较器是一个基本模块,广泛应用于模拟信号到数字信号的转换。在a/d 转换器中,电压比较器的增益,带宽,功耗,失调电压的特性严重影响整个转换器的转换速度和精度,传统的电压比较器采用多级结构,使用输入失调存储技术(ios)和输出失调存储技术(oos)对失调电压进行消除,增加了电路结构的复杂度和功耗,芯片面积也越来越大。但随着应用速度越来越高,功耗要求越来越低,ios和oos要求放大器有足够高的增益和带宽,这些因素对于其发展有一定的制约作用。 本文设计的电压比较器电路结构简单,采用了两级放大结构,前级放大采用差分放大电路,利用差分电路抑制共模信号的干扰,提高了共模抑制比,减少了信号中噪声的干扰,第二级放大采用共源共栅电路对失调电压进行了很好的控制,使电路的失调电压达到150μv,输出级采用推挽输出电路提升了输出的驱动能力,整个比较器的功耗非常低,芯片整个面积仅为29.56μm×25.68μm。该比较器设计主要用于高精度时间测量芯片中,通过比较器产生一个低延时的门控信号,对于整个时间测量电路达到一个精准的控制。通过仿真结果得知,该电压比较器满足应用需求。 1 电压比较器结构 如图1所示为cmos电压比较器原理图,该比较器由偏置电路、差分放大器、共源放大器和推挽级输出电路组成。其中,m1管和m2管组成偏置电压电路,为差分放大器和共源放大器提供偏置电压。通过调节m1管和m2管的宽长比,让差分放大器和共源放大器得到合适的工作电流,合理设计差分放大器和共源放大器,主要考虑输入失调电压、输入共模范围、输出信号的增益和带宽的影响,设计出一个性能最优的比较器电路。m10管和m11管组成一个推挽输出级电路,提升输出信号的驱动能力,为了能更好的和其它电路进行协同工作。 该电压比较器的工作原理如下:是同相输入端,是反相输入端。当输入电压高于时,m3管导通,,m3管和m7管的电流相同,m8管又与m7管为镜像电流关系,m8管导通,使,b点为高电平,c点为低电平,vo输出高电平。当输入电压低于vb时,,因此,m4管导通阻抗低,b点为低电平,导致m9管导通,c点为高电平,vo输出为低电平。 1.1 偏置电压电路设计 m1管和m2管组成偏置电路提供m5管和m6管的栅极电位。偏置电路采用pmos管和nmos 管栅漏极相连,两管子均工作于饱和区,为差分放大器和共源放大器提供恒定的电流源。因此, 1.2 差分放大器的设计 差分放大电路的作用有两个:首先对输入信号进行放大,这样就可以对比较级电路的比较时间进行降低,同时把总体延时降到最低;其次是对输入信号差值进行放大,这样就可以把失调电压对整个电路的影响降到最低。高带宽在高速比较器中是一个重要影响因素,高的带宽可以使整个电路的比较时间减少,从而对于比较器的速度进行提高。 负向共模输入电压决定了差分输入对管。负向共模输入电压取决于m5管进入饱和区的条件。负向共模输入电压为。 m3管、m4管和m5都工作在饱和区,三个管子的阈值电压相等。 考虑到负向共模范围低和电压增益高的要求,取=1.2v ,由式(7)可以得到m3管的宽长比。 m3管和m4管是完全对称的输入对管,所以可以得到。 有源负载对管m7和m8由正向共模输入电压决定,正向共模输入电压取决于m3管进入饱和区的条件,则得到: 设计共模输入电压=3v,。i0为差分放大器的工作电流。由式(8)可以得到m7管的宽长

电压比较器实验报告

85 专业:电气工程卓越 人才 姓名:卢倚平 学号: ________ 验 … 一 二、实验内容 五、思考题及实验心得 一、实验目的 了解电压比较器与运算放大器的性能区别: 二、实验数据记录、处理与分析 ①【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率 的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压in< 输出out = 0L ;反之,当输入电压in N out 时,输出out = OH 。 实验仿真: 课程名称: 电路打电r 技术实於 指导老师: 周箭 成绩: 实验名称: 电压比较器及其应用 实验类型: 电子电路实验同组 学生姓名: 邓江毅 三、主要仪器设备 四、实验数据记录、处理与分析 一、实验目的 2. 举握电压比较器的结构及特点; 3. 掌握电压比较器电圧传输特性的测试方法: 4. 学习比较器在电路设计中的应用。

不疲器?5(£C1I JS J 时同270.001ms 270.001 ms 0.000s JIf 「反向—] 通道 上 ?4.998 V -4.998 V 0.000 V 通道丿 -17.847V -17.847 V 0.000 V H as 12^1 时基_ 标度:10 msX)iv X轴位移(格):0 通ilA 刻度: 20 VQ2 Y轴位移 (格):0 通ilB ____ 刻度:5 VQiv Y轴位移 (榆:0 L保Q外触发 触发 边沿:SB 0回国] 水 平:0 ~ 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin接比较器的同相输入端,反相输入端接参考电 压Vref (门限电平)。当输入电压Vin>Vref 输出为高电平VOH:当输入电压Vin

电压比较器原理分析(学年论文)

电压比较器原理分析 目录 第一章绪论 (2) 第二章电压比较器原理图 (2) 第三章电压比较器工作原理及应用 (3) 3.1 什么是电压比较器 (3) 3.2 电压比较器的工作原理 (5) 3.3 比较器与运放的差别 (5) 第四章比较器典型应用电路分析 (6) 4.1 散热风扇自动控制电路 (6) 4.2窗口比较器 (9) 参考文献 (11)

第一章绪论 电压比较器是对输入信号进行鉴幅与比较的电路,是组成非正弦波发生电路的基本单元电路,在测量和控制中有着相当广泛的应用。本文主要讲述各种电压比较器及其对应的应用电路,讲述各种电压比较器的特点及其电压传输特性,同时阐述电压比较器的组成特点和分析方法。 电压比较器是集成运放非线性应用电路,他常用于各种电子设备中,那么什么是电压比较器呢?下面我给大家介绍一下,它将一个模拟量电压信号和一个参考固定电压相比较,在二者幅度相等的附近,输出电压将产生跃变,相应输出高电平或低电平。比较器可以组成非正弦波形变换电路及应用于模拟与数字信号转换等领域。 图1 图1所示为一最简单的电压比较器,UR为参考电压,加在运放的同相的输入端,输入电压UI加在反相的输入端。 第二章电压比较器原理图 电压比较器可将模拟信号转换成二值信号,即只有高电平和低电平两种状态的离散信号。因此,可用电压比较器作为模拟电路和数字电路的接口电路。集成电压比较器虽然比集成运放的开环增益低,失调电压大,共模抑制比小,但其响应速度快,传输延迟时间短,而且一般不需要加限幅电路就可以直接驱动TTL、CMOS和ECL等集成数字电路;有些芯片带负载能力强,还可以直接驱动继电器和指示灯。 按一个器件上所含有电压比较器的个数,可分为单、双和四电压比较器;按功能,可分为通用性高速型低功耗型低电压型和高精度型电压比较器;按输出方式,可分为普通集电极(或漏极)开路输出或互补输出三种情况。集电极(或漏极)开路输出电压必须在输出端接一个电阻至电源,若一个为高电平,则另一个必为低电平。 此外,还有的集成电压比较器带有选通断,用来控制电路是处于工作状态,还是处于禁止状态。所谓工作状态,是指点乱编电压传输特性工作;所谓禁止状态,是指电路不按电压传输特性工作,从输出端看进去相当于开路,即处于高阻状态。 下面是对具体电压比较器的功能电路分析:(A)电路图1传输特性当UI<UR时,运放输出高电平,稳压管DZ反向稳压工作。输出端电位被其箝位在稳压管的稳定电压UZ,即UO=UZ

电压比较器LM393学习资料

电压比较器L M3 93

电压比较器LM393 【教材分析】 “电压比较器”这部分内容是上海市劳动技术教材(科教版)高二年级第二章控制技术里面电子控制系统部分的内容。理解掌握电压比较器电路的工作原理对于后续“光电自动循迹小车”控制原理部分的学习非常重要。 LM393数字电路作为一个新的知识点,如果结合“光电自动循迹小车”电路图讲解,由于还涉及到输入输出部分的分析,很多学生理解起来有困难。只有将电压比较器在电路中的功能及应用讲清讲透彻,在这个基础条件上再让学生学习制作“光电自动循迹小车”能起到事半功倍的效果。 本节课从电压比较器接法分析和实验验证入手,一步步引导学生深入探讨,然后结合生活实例让学生动手设计制作“光控照明电路”,在实践中加深 学生对于电压比较器的理解掌握。 【学情分析】 由于高二已进行文理分班,考虑到本班级是文科班,在课堂教学内容安排 上我尽量降低难度,在理论知识讲解上要透彻,在实践操作指导上要细致,能让大多数学生都能体验技术设计的过程,感受技术活动的乐趣。 在前阶段的学习中学生已经认识了基本的电子元器件如电阻、发光二极 管、传感器等,用电子实验板搭建过几个简单电路,也了解了一些数字集成电路的知识。通过生活实例讲解电压比较器作用后,让学生通过实验板搭建实验验证,在此过程中学生既能理解电压比较器功能,又能了解LM393的电路接 法。在此基础上结合生活实际启发学生设计制作“光控照明电路”,引导学生拓宽思路,开拓视野,有助于培养学生分析问题解决问题的能力,有助于学生

综合设计能力的提高。 【教学目标】 1、知识与技能 (1)初步学会识读集成电路LM393的内部结构和引脚图。 (2)理解电压比较器在电路中的作用和接入电路的方法。 (3)学会用集成电路LM393设计制作简单的电子作品。 2、过程与方法 (1)共同探讨电压比较器接入电路的方法,选择合适电子元器件在电子实验板上搭建验证电路,探究电压比较器电路的工作原理。 (2)联系生活实际,通过分析、设计、制作、调试“光控照明电路”,进一步了解电压比较器在实际电路中的作用,提高分析问题、解决问题的能力。 3、情感态度与价值观 (1)通过电压比较器电路分析、在电子实验板上组装与实验调试,达到“理论一实践一理论”相结合,激发学习兴趣,增强创新意识,合作意识。 (2)通过“光控照明电路”的设计和制作,感悟数字技术对改善生活的作用,激发学习科学技术、应用科学技术的热情。 【教学重点与难点】 1、重点:电压比较器电路的工作原理 2、难点:电压比较器接入电路的方法 【教学器材】 教具:多媒体课件、多媒体实物投影

电压比较器工作原理及应用实例

电压比较器工作原理及应用实例 时间:2011-11-24来源:作者:方佩敏 来源:https://www.sodocs.net/doc/3e16790378.html, 本文主要介绍电压比较器基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。 什么是电压比较器 简单地说,电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+”端)及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压VA,反相端输入VB。VA和VB的变化如图1(b)所示。在时间0~t1时,VA>VB;在t1~t2时,VB>VA;在t2~t3时,VA>VB。在这种情况下,Vout 的输出如图1(c)所示:VA>VB时,Vout输出高电平(饱和输出);VB>VA时,Vout 输出低电平。根据输出电平的高低便可知道哪个电压大。 如果把VA输入到反相端,VB输入到同相端,VA及VB的电压变化仍然如图1(b)所示,则Vout输出如图1(d)所示。与图1(c)比较,其输出电平倒了一下。输出电平变化与VA、VB的输入端有关。 图2(a)是双电源(正负电源)供电的比较器。如果它的VA、VB输入电压如图

1(b)那样,它的输出特性如图2(b)所示。VB>VA时,Vout输出饱和负电压。 如果输入电压VA与某一个固定不变的电压VB相比较,如图3(a)所示。此VB称为参考电压、基准电压或阈值电压。如果这参考电压是0V(地电平),如图3(b)所示,它一般用作过零检测。 比较器的工作原理 比较器是由运算放大器发展而来的,比较器电路可以看作是运算放大器的一种应用电路。由于比较器电路应用较为广泛,所以开发出了专门的比较器集成电路。 图4(a)由运算放大器组成的差分放大器电路,输入电压VA经分压器R2、R3分压后接在同相端,VB通过输入电阻R1接在反相端,RF为反馈电阻,若不考虑输入失调电压,则其输出电压Vout与VA、VB及4个电阻的关系式为: Vout=(1+RF/R1)·R3/(R2+R3)VA-(RF/R1)VB。若R1=R2,R3=RF,则 Vout=RF/R1(VA-VB),RF/R1为放大器的增益。当R1=R2=0(相当于R1、R2短路),R3=RF=∞(相当于R3、RF开路)时,Vout=∞。增益成为无穷大,其电路图就形成图4(b)的样子,差分放大器处于开环状态,它就是比较器电路。实际上,运放处于开环状态时,其增益并非无穷大,而Vout输出是饱和电压,它小于正负电源电压,也不可能是无穷大。

电压比较器

模拟电子技术自主设计实验 姓名:林启震班级:04101 学号1120410121 实验日期:5.27 台号:教师签字: 电压比较器 一、实验目的 1、掌握电压比较器的分析及其计算 2、学习测试比较器的方法 二、实验仪器 1、双踪示波器 2、信号发生器 3、数字万用表 4、直流电源。 三、实验原理及测量方法 电压比较器(通常称为比较器)的功能是比较两个电压的大小。例如,将一个信号电压Ui和另一个参考电压Ur进行比较,在Ui>Ur和Ui0时,Uo为低电平 Ui<0时,Uo为高电平 集成运放输出的高低电平值一般为最大输出正负电压值U om (a)电路图(b)电压传输特性曲线 图1 过零比较器 2、滞回电压比较器 滞回电压比较器是由集成运放外加反馈网络构成的正反馈电路,如图2所示。Ui为信号电压,Ur为参考电压值,输出端的稳压管使输出的高低电平值为±Uz。可以看出,此电路形成的反馈为正反馈电路。

(a )电路图 (b )电压传输特性曲线 图2 反向滞回电压比较器 电压比较器的特性可以用电路的传输特性来描述,它是指输出电压与输入电压的关系曲线,如图1(b )为过零比较器的电压传输特性曲线。 可以看出,当输入电压从低逐渐升高或从高逐渐降低经过0电压时,Uo 会从一个电平跳变为另一个电平,称0为过零比较器的阈值。阈值定义为当比较器的输出电平从一个电平跳变到另一个电平时对应的输入电压值。 滞回电压比较器的电压传输特性曲线如图2(b )所示。 曲线表明,当输入电压由低向高变化,经过阈值1TH U 时,输出电平由高电平(Uz )跳变为低电平(-Uz )。 2123z TH R U U R R = + 当输入电压由高向低变化,经过阈值2TH U 时,输出电平由低电平(-Uz)跳变为高电平(Uz)。 2123z TH R U U R R -= + 3、电压比较器的测试 测试过零比较器时,可以用一个低频的正弦信号输入至比较器中,直接用双踪示波器监看输出和输入波形,当输入信号幅度适中时,可以发现输入电压大于零、小于零时,输出的高、低电平变化波形,即将正弦波变换为方波。 滞回电压比较器测试时也可由用同样的方法,但在示波器上读取上、下阈值时,误差较大。采用直流输入信号的方案较好,调节输入信号变化,测出输出电平跳变时对应的输入电压值即为阈值。 四、实验内容 1、 过零比较器 (1)连接图1(a )实验电路,检查无误后,接通12V ±直流电源 (2)测量当Ui 悬空时,Uo 的值 (3)调节信号源,使输出频率为100Hz ,有效值为1V 的正弦波信号,并输入至Ui 端,用示波器观察比较器的输入Ui 与输出Uo 波形并记录 (4)改变信号发生器的输出电压Ui 幅值,用示波器观察Uo 变化,测出电压传

电压比较器原理及使用

实验十电压比较器的安装与测试 一.实验目的 1.了解电压比较器的工作原理。 2.安装和测试四种典型的比较器电路:过零比较器、电平检测器、滞回比较器和窗口比较器。 二.预习要求 1.预习过零比较器、电平检测器、滞回比较器和窗口比较器的工作原理。 2.预习使用示波器测量信号波形和电压传输特性的方法。 三.实验原理 电压比较器的基本功能是能对两个输入电压的大小进行比较,判断出其中那一个比较大。比较的结果用输出电压的高和低来表示。电压比较器可以采用专用的集成比较器,也可以采用运算放大器组成。由集成运算放大器组成的比较器,其输出电平在最大输出电压的正极限值和负极限值之间摆动,当要和数字电路相连接时,必须增添附加电路,对它的输出电压采取箝位措施,使它的高低输出电平,满足数字电路逻辑电平的要求。 下面讨论几种常见的比较器电路。 基本过零比较器(零电平比较器) 过零比较器主要用来将输入信号与零电位进行比较,+15V 以决定输出电压的极性。电路如图1所示:u i 2 7 放大器接成开环形式,信号u i从反向端输入,同μA7416u o 相端接地。当输入信号u i< 0时,输出电压u o为正极限34 值U OM;由于理想运放的电压增益A u→∞,故当输-15V 入信号由小到大,达到u i = 0 时,即u -= u + 的时刻, 输出电压u o 由正极限值U OM 翻转到负极限值-U OM。图 1 反向输入过零比较器 当u i >0时输出u o为负极限值-U OM。因此,输出翻转的临界条件是u + = u - = 0。 即:+U OM u i< 0 u o = (1) -U OM u i >0 其传输特性如图2(a)所示。所以通过该电路输出的电压值,就可以鉴别输入信号电压u i是大于零还是小于零,即可用做信号电压过零的检测器。

模电自主设计实验—同相滞回电压比较器的研究 - 副本

姓名班级学号 实验日期节次教师签字成绩 实验名称同相滞回电压比较器的研究 1.实验目的 1.掌握同相滞回电压比较器的电路构成及特点。 2.掌握测试同相滞回电压比较器的方法。 3.掌握同相滞回电压比较器的设计方法。 4.掌握同相滞回电压比较器的仿真方法。 2.总体设计方案或技术路线 1.应用背景 电压比较器是集成运算放大器非线性应用电路,它是对输入信号鉴幅和比较的电路, 是组成非正弦波发生电路的基本单元电路,在测量和控制中有着相当广乏的应用。 所以本次试验以研究同相滞回电压比较器为基础来了解电压比较器的特性和功能。 2.同相滞回电压比较器 滞回比较器有两个阈值电压,输入电压ui从小变大过程中使输出电压uo产生跃变 的阈值电压,不等于从大变小过程中是输出电压产生跃变的阈值电压,电 路具有回滞特性。 同相滞回电压比较器的电路如图1所示,根据电压传输特性可知,输入电压作用于 同相输入端,uo=。求解阈值的电压表达式为

3.实验电路图 图中为100 KΩ,为10 KΩ,为5.1 KΩ4.仪器设备名称、型号 1.示波器 1台 2.直流稳压电源 1台 3.低频信号发生器 1台 4.交流毫伏表 1台 5.万用表 1块 6.模电实验箱 1台5.理论分析或仿真分析结果 理论的传输特性曲线为

6.详细实验步骤及实验结果数据记录 一.基础实验 运放选择LM324芯片,按图1正确连接好电路,并进行如下操作: 1.接可调直流电源,调输入电压测出由时的临界值。并记录 到表格1中 2. 接可调直流电源,调输入电压测出由时的临界值。并记录 到表格1中。 表格1 并且根据以上结果绘制出传输特性曲线: 3.输入幅值、频率f=500的正弦波,观察波形并记录如下。

电压比较器电路图

电压比较器电路。 电压比较器是比较两个电压和开关输出或高或低的状态,取决于电压较高的电路。一个基于运放电压比较器上显示。图1显示了一个电压比较器的反相模式图显示了在非反相模式下的电压比较。 电压比较器 非反相比较 在非反相比较器的参考电压施加到反相输入电压进行比较适用于非反相输入。每当进行比较的电压(Vin)以上的参考电压进入运放的输出摆幅积极饱和度(V+),和副反之亦然。实际上发生了什么是VIN和Vref(VIN-VREF)之间的差异,将是一个积极的价值和由运放放大到无穷大。由于没有反馈电阻Rf,运放是在开环模式,所以电压增益(AV)将接近无穷。+所以最大的可能值,即输出电压摆幅,V。请记住公式AV=1+(Rf/R1)。当VIN低于VREF,反向发生。 反相比较

在相比较的情况下,参考电压施加到非反相输入和电压进行比较适用于反相输入。每当输入电压(Vin)高于VREF,运放的输出摆幅负饱和。倒在这里,两个电压(VIN-VREF)之间的差异和由运放放大到无穷大。记住公式AV=-Rf/R1。在反相模式下的电压增益的计算公式是AV=-Rf/R1.Since没有反馈电阻,增益将接近无穷,输出电压将尽可能即负,V-。 实际电压比较器电路 一种实用的非基于UA741运放的反相比较器如下所示。这里使用R1和R2组成的分压器网络设置参考电压。该方程是VREF=(五+/(R1+R2)的)×R2的。代入这个方程电路图值,VREF=6V。当VIN高于6V,输出摆幅?+12V直流,反之亦然。从A+/-12V 直流双电源供电电路。 电压比较器的使用741

一些其他的运放,你可能会感兴趣的相关电路 1求和放大器:总结放大器可以用来找到一个信号给定数量的代数和。 2。集成使用运放:对于一个集成的电路,输出信号将输入信号的积分。例如,一个集成的正弦波使余弦波,方波一体化为三角波等。 3。反相放大器:在一个反相放大器,输出信号将输入信号的倒版,是由某些因素放大。 4,仪表放大器:这是一个类型的差分放大器输入额外的缓冲阶段。输入阻抗高,易于匹配结果。仪表放大器具有更好的稳定性,高共模抑制比(CMRR),低失调电压和高增益。

比较器工作原理及应用

电压比较器(以下简称比较器)就是一种常用得集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F 变换电路、 A /D 变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用得电压比较器。 什么就是电压比较器 简单地说,电压比较器就是对两个模拟电压比较其大小(也有两个数字电压比较得,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)就是比较器,它有两个输入端:同相输入端(“ + ” 端)及反相输入端(“一”端),有一个输出端Vou t (输出电平信号)。另外有电源V+ 及地(这就是个单电源比较器),同相端输入电压VA,反相端输入VB。V A与VB得变化如图1(b )所示。在时间0~ t 1时,V A > V B ;在上1?t 2时,V B > VA ;在上2~t3时,V A> VB。在这种情况下,Vo u t得输出如图1 (c)所示:V A>VB 时,Vou t输出高电平(饱与输出);V B >V A时,V o u t输出低电平。根据输出电平得高低便可知道哪个电压大.

如果把V A 输入到反相端,V E 输入到同相端,VA 及V B 得电压变化仍然如图1(b)所示则Vout 输出如图1(d )所示.与图 1 (c )比较,其输出电平倒了一下。输出电平变化与 VA 、VE 得输入 端有关。 图2⑻就是双电源(正负电源)供电得比较器?如果它得 VA 、VB 输入电压如图1 (b )那样,它得输出特性如图2(b)所示。VB > V A 时,Vou t 输出饱与负电压。 国1 ■KT \ I V 咚庄

电压比较器教程文件

电压比较器

实验十集成运放基本应用之三——电压比较电路 姓名:班级:学号:实验时间: 一、实验目的 1、掌握比较器的电路构成及特点 2、学会测试比较器的方法 二、实验原理 1、图1所示为一最简单的电压比较器,UR为参考电压,输入电压Ui加在反相输入端。图1(b)为(a)图比较器的传输特性。 (a) 图1 电压比较器 (b) 当UiUR时,运放输出低电平,Dz正向导通,输出电压等于稳压管的正向压降UD,即:Uo=-UD。 因此,以UR为界,当输入电压Ui变化时,输出端反映两种状态。高电位和低电位。 2、常用的幅度比较器有过零比较器、具有滞回特性的过零比较器(又称Schmitt触发器)、双限比较器(又称窗口比较器)等。 (1)、图2过零比较器 D1D2为幅稳压管。信号从运放的反相端输入,参考电压为零。当u1>0 时,u0=-(Uz+U D),当u1<0时,u0=+(Uz+U D)

(a) 图2 过零比较器 (b) (2)、图3为滞回比较器。 过零比较器在实际工作时,如果Ui恰好在过零值附近,则由于零点漂移的存在,Uo将不断由一个极限值转换到另一个极限值,这在控制系统中,对执行机构将是很不利的。为此就需要输出特性具有滞回现象。如图3所示: (a) (b) 图3 滞回比较器 从输出端引入一个电阻分压支路到同相输入端,若Uo 改变状态,U∑ 点也随着改变点位,使过零点离开原来位置。当Uo 为正(记作U D )U∑=[ R2/( R2+ R f )]* U D ,则当UD> U∑后,Uo 再度回升到UD,于是出现图(b)中所示的滞回特性。- U∑ 与U∑ 的差别称为回差。改变R2 的数值可以改变回差的大小。 三、实验设备与器件 1、±12V直流电源 2、直流电压表 3、函数信号发生器 4、交流毫伏表 5、双踪示波器 6、运算放大器μA741×2 7、稳压管2CW231×1 8、二极管4148×2 9、电阻器等

电压比较器实验

实验报告 课程名称:___模拟电子技术实验____________指导老师:_ ___ _成绩:__________________ 实验名称:________实验类型:_EDA___________同组学生姓名:__ __ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一. 实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二. 实验内容 1 .过零电压比较器 2 .单门限电压比较器 3 .滞回电压比较器 4 .窗口电压比较器 5 .三态电压比较器 三.实验原理 比较器的输出结构 集电极开路输出比较器 集电极/发射极开路输出比较器

漏极开路输出比较器 推挽式输出比较器 ● 过零电压比较器电路 : 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压 时,输出 ;反之,当输入电压 时,输出 。 ● 基本单门限比较器电路 单门限比较器的输入信号V in 接比较器的同相输入端,反相输入端接参考电压V ref (门限电平) 。当输入电压V in >V ref 时,输出为高电平V OH ;当输入电压V in

四电压比较器LM339的8个典型应用例子

四电压比较器LM339的8个典型应用例子 LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是:1)失调电压小,典型值为2mV;2)电源电压范围宽,单电源为2-36V,双电源电压为±1V-±18V;3)对比较信号源的内阻限制较宽;4)共模范围很大,为0~(Ucc-1.5V)Vo;5)差动输入电压范围较大,大到可以等于电源电压;6)输出端电位可灵活方便地选用。 LM339集成块采用C-14型封装,图1为外型及管脚排列图。由于LM339使用灵活,应用广泛,所以世界上各大IC生产厂、公司竟相推出自己的四比较器,如IR2339、ANI339、SF339等,它们的参数基本一致,可互换使用。 LM339类似于增益不可调的运算放大器。每个比较器有两个输入端和一个输出端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也称为门限电平,它可选择LM339输入共模范围的任何一点),另一端加一个待比较的信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输入端电压差别大于10mV就能确保输出能从一种状态可靠地转换到另一种状态,因此,把LM339用在弱信号检测等场合是比较理想的。LM339的输出端相当于一只不接集电极电阻的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选3-15K)。选不同阻值的上拉电阻会影响输出端高电位的值。因为当输出晶体三极管截止时,它的集电极电压基本上取决于上拉电阻与负载的值。另外,各比较器的输出端允许连接在一起使用。 单限比较器电路 图1a给出了一个基本单限比较器。输入信号Uin,即待比较电压,它加到同相输入端,在反相输入端接一个参考电压(门限电平)Ur。当输入电压Uin>Ur时,输出为高电平U OH。图1b为其传输特性。

电压比较器LM393

电压比较器LM393 【教材分析】 “电压比较器”这部分内容是上海市劳动技术教材(科教版)高二年级第二章控制技术里面电子控制系统部分的内容。理解掌握电压比较器电路的工作原理对于后续“光电自动循迹小车” 控制原理部分的学习非常重要。 LM393数字电路作为一个新的知识点,如果结合“光电自动循迹小车”电路图讲解,由于还涉及到输入输出部分的分析,很多学生理解起来有困难。只有将电压比较器在电路中的功能及应用讲清讲透彻,在这个基础条件上再让学生学习制作“光电自动循迹小车”能起到事半功倍的效果。 本节课从电压比较器接法分析和实验验证入手,一步步引导学生深入探讨,然后结合生活实例让学生动手设计制作“光控照明电路”,在实践中加深学生对于电压比较器的理解掌握。 【学情分析】 由于高二已进行文理分班,考虑到本班级是文科班,在课堂教学内容安排上我尽量降低难度,在理论知识讲解上要透彻,在实践操作指导上要细致,能让大多数学生都能体验技术设计的过程,感受技术活动的乐趣。 在前阶段的学习中学生已经认识了基本的电子元器件如电阻、发光二极管、传感器等,用电子实验板搭建过几个简单电路,也了解了一些数字集成电路的知识。通过生活实例讲解电压比较器作用后,让学生通过实验板搭建实验验证,在此过程中学生既能理解电压比较器功能,又能了解LM393的电路接法。在此基础上结合生活实际启发学生设计制作“光控照明电路”,引导学生拓宽思路,开拓视野,有助于培养学生分析问题解决问题的能力,有助于学生综合设计能力的提高。 【教学目标】 1、知识与技能 (1)初步学会识读集成电路LM393的内部结构和引脚图。 (2)理解电压比较器在电路中的作用和接入电路的方法。 (3)学会用集成电路LM393设计制作简单的电子作品。 2、过程与方法 (1)共同探讨电压比较器接入电路的方法,选择合适电子元器件在电子实验板上搭建验证电路,探究电压比较器电路的工作原理。 (2)联系生活实际,通过分析、设计、制作、调试“光控照明电路”,进一步了解电压比较器在实际电路中的作用,提高分析问题、解决问题的能力。 3、情感态度与价值观 (1)通过电压比较器电路分析、在电子实验板上组装与实验调试,达到“理论—实践—理论”相结合,激发学习兴趣,增强创新意识,合作意识。 (2)通过“光控照明电路”的设计和制作,感悟数字技术对改善生活的作用,激发学习科学技术、应用科学技术的热情。 【教学重点与难点】 1、重点:电压比较器电路的工作原理

一种中速高精度模拟电压比较器的设计

1引言 在A/D转换器中,比较器重要性能指标是工作速度、精度、功耗、输入失调电压、正反馈时产生的回程噪声等,这些指标影响和制约着整个A/D转换器的性能。高速比较器速度较快,一般采用锁存器(Latch)结构,但是失调和回程噪声较大,精度在8位以下,用于闪烁(Flash)、流水线(Pipeline)型等高速A/D转换器[1]。高精度比较器可分辨小电压,但速度相对较慢,一般采用多级结构,且较高的精度决定失调校准的必要性。这里设计的比较器是用于输入范围2.5V、速度1MS/s、精度12位的逐次逼近型A/D转换器,为了满足A/D转换器的性能指标,则需采用中速高精度的比较器。 2比较器的设计 由于该比较器用于输入电压2.5V、速度1MS/s、精度12位的逐次逼近型A/D转换器,因此比较器的精度至少应达到1/2LSB,即0.3mV的电压,速度高于12MHz,并且需要考虑一定的设计余量,所以暂定指标为精度0.2mV、速度20MHz。该中速高精度的比较器通常采用多级结构实现。在利用锁存器速度高、功耗小等优点的基础上,采用3级前置放大器组成的预放大级提高精度;采用输入失调储存与输出失调储存技术相结合的办法降低甚至抵消失调的影响;采用共源共栅、源随器结构的前置放大器和锁存器的时钟控制来抑制回程噪声的影响;采用数字触发电路获得高性能的数字输出信号。需要注意的是必须准确处理好比较器的各个工作阶段,使其各部分协调工作,降低相互之间的干扰,以达到最优的性能。 2.1总体结构与失调校准技术 图1为比较器电路的总体结构框图,采用3级电容耦合的前置放大器加锁存比较器的结构,其中耦合电容可用于失 调储存,开关用于控制比较器工作。 图1比较器的总体结构框图 暂不考虑锁存比较器的时钟控制以及整个电路的复位工作,该比较器工作大致分为2阶段:首先是失调校准阶段, 一种中速高精度模拟电压比较器的设计 王鑫,唐广 (电子科技大学电子工程学院,四川成都610054) 摘要:设计一种中速高精度模拟电压比较器,该比较器采用3级前置放大器加锁存器和数字触发电路的多级结构,应用失调校准技术消除失调,应用共源共栅结构抑制回程噪声干扰;应用数字触发电路获得高性能数字输出信号,设计采用0.35μm5V CMOS工艺实现一个输入电压2.5V、速度1MS/s、精度12位的逐次逼近型A/D转换器。 Hspice仿真结果表明:在5V供电电压下,速度可达20MHz,准确比较0.2mV电压,有效校准20mV输入失调,功耗约1mW。 关键词:比较器;锁存器;失调校准;弱正反馈;逐次逼近 中图分类号:TN453文献标识码:A文件编号:1674-6236(2009)07-0037-03 Design of a moderate-speed and high precision analog voltage comparator WANG Xin,TANG Guang (School of Electronic Engineering,University of Electronic Science and Technology of China,Chengdu610054,China) Abstract:A moderate-speed and high precision analog voltage comparator is designed,in which a multi-stage structure consisting of three pre-amplifiers,a latch and a digital flip-flop circuit are adopted.The comparator uses an offset cancellation technique to cancel offset,uses a cascode circuit to retrain kickback noise,and uses a digital flip-flop circuit to make a high performance digital output signal.Designed and fabricated in0.35μm5V CMOS technology,the comparator is used in a range of2.5V,1MS/s,12-bit successive approximation analog-to-digital converter.Hspice simulation results show that this comparator distinguishes0.2mV at20MHz under5V supply voltage,and effectively calibrate20mV input offset,with about1mW power consumption. Key words:comparator;latch;offset cancellation;weak positive feedback;successive approximation 收稿日期:2009-02-20稿件编号:200902043 作者简介:王鑫(1983-),男,四川成都人,硕士研究生。研究方向:模拟及数模混合集成电路设计。

相关主题