搜档网
当前位置:搜档网 › 数字电子技术课程设计指导书_广东工业大学

数字电子技术课程设计指导书_广东工业大学

数字电子技术课程设计指导书_广东工业大学
数字电子技术课程设计指导书_广东工业大学

数字电子技术课程设计指导书

第二版

物理与光电工程学院

电工电子部

2009年4月1日拟制

2013年5月6日排版

目录

1数字电子技术课程设计的目的与意义 (1)

2数字电子技术课程设计的方法和步骤 (1)

2.1设计任务分析 (1)

2.2方案论证 (1)

2.3方案实现 (2)

2.3.1单元电路设计 (2)

2.3.2参数计算 (2)

2.3.3器件选择 (2)

2.3.4安装调试 (3)

3数字电子技术课程设计题目(A-E任选一题) (3)

3.1选题A:功能数字钟的电路设计 (4)

3.1.1设计目的 (4)

3.1.2设计任务与要求 (4)

3.1.3选做 (4)

3.1.4数字钟的基本原理及电路设计 (4)

3.1.5设计要点 (8)

3.2选题B:交通灯控制电路设计 (8)

3.2.1设计目的 (8)

3.2.2设计任务与要求 (8)

3.2.3交通灯控制电路基本原理及电路设计 (9)

3.2.4设计要点 (12)

3.3选题C:简易数字频率计电路设计 (12)

3.3.1设计目的 (12)

3.3.2设计任务与要求 (13)

3.3.3数字频率计基本原理及电路设计 (13)

3.3.4调试要点 (17)

3.4选题D:洗衣机控制电路设计 (18)

3.4.1设计目的 (18)

3.4.2设计任务与要求 (18)

3.4.3洗衣机控制电路原理及电路设计 (18)

3.4.4调试要点 (21)

3.5选题E:四人智力竞赛抢答器 (21)

3.5.1设计目的 (21)

3.5.2设计任务与要求 (21)

3.5.3四人智力竞赛抢答器电路原理及设计 (22)

3.5.4设计要点 (24)

附:课程设计的考核办法(2008-10) (26)

图1数字钟整机逻辑图 (5)

图2石英晶体振荡电路 (6)

图3校时电路 (7)

图4交通灯控制器结构图 (9)

图5交通灯控制状态转换图 (10)

图6数字频率计原理图 (14)

图7555多谐振荡电路 (15)

图8数字频率计逻辑控制电路 (16)

图9数字频率计报警电路 (17)

图10洗衣机电机运转 (18)

图11洗衣机控制电路原理图 (19)

图12洗衣机电机驱动电路 (19)

图13四人智能抢答器原理图 (23)

表1信号灯译码电路真值表 (11)

表2驱动电路控制表 (20)

表3锁存编码真值表 (23)

1 数字电子技术课程设计的目的与意义

电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养工程人员的素质和能力具有十分重要的作用。在电子信息类本科教学中,电子技术课程设计是一个重要的实践环节,它包括选择课题、电子电路设计、组装、调试和编写总结报告等实践内容。通过课程设计要实现以下两个目标:第一,让学生初步掌握电子线路的试验、设计方法。即学生根据设计要求和性能参数,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能指标;第二,课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。

2 数字电子技术课程设计的方法和步骤

设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各部分进行单元的设计、参数计算和器件选择,最后将各部分连接在一起,画出一个符合设计要求的完整系统电路图。

2.1 设计任务分析

对系统的设计任务进行具体分析,充分了解系统的性能、指标内容及要求,以便明确系统应完成的任务。

2.2 方案论证

这一步的工作要求是把系统的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。

方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务、要求和条件,完成系统的功能设计。在这个过程中要用于探索,勇于创新,力争做到设计方案合理、可靠、经济、功能齐全、技术先进,并且对方案要不断进行可行性和优缺点的分析,最后设计出一个完整框图。框图必须正确反映系统应完成的任务和各组成部分功能,清楚表示系统的基本组成和相互关系。

2.3 方案实现

2.3.1 单元电路设计

单元电路是整机的一部分,只有把各单元电路设计好才能提高整体设计水平。每个单元电路设计前都需明确本单元电路的任务,详细拟订出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿成熟的先进电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理,各单元电路间也要相互配合,注意各部分的输入信号、输出信号和控制信号的关系。

2.3.2 参数计算

为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大电路中各阻值、放大倍数的计算;振荡器中电阻、电容、振荡频率等参数的计算。只有很好地理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。

2.3.3 器件选择

阻容元件的选择:电阻和电容种类很多,正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同,有些电路对电

容的漏电要求很严,还有些电路对电阻、电容的性能和容量要求很高。例如滤波电路中常用大容量铝电解电容,为滤掉高频通常还需并联小容量瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件,并要注意功耗、容量、频率和耐压范围是否满足要求。

分立元件的选择:分立元件包括二极管、晶体三极管、场效应管、光电二(三)极管、晶闸管等。根据其用途分别进行选择。选择的器件种类不同,注意事项也不同。例如选择晶体三极管时,首先注意是选择NPN型还是PNP型管,是高频管还是低频管,是大功率还是小功率,并注意管子的参数是否满足电路设计指标的要求。

集成电路的选择:由于集成电路可以实现很多单元电路甚至整机电路的功能,所以选用集成电路来设计单元电路和总体电路既方便又灵活,它不仅使系统体积缩小,而且性能可靠,便于调试及运用,在设计电路时颇受欢迎。集成电路有模拟集成电路和数字集成电路。国内外已生出大量集成电路,其器件的型号、原理、功能、特征可查阅有关手册。选择的集成电路不仅要在功能和特性上实现设计方案,而且要满足功耗、电压、速度、价格等多方面的要求。

2.3.4 安装调试

安装与调试过程应按照先局部后整机的原则,根据信号的流向逐块调试,使各功能块都要达到各自技术指标的要求,然后把它们连接起来进行统调和系统测试。调试包括调整与测试两部分,调整主要是调节电路中可变元器件或更换器件,使之达到性能的改善。测试是采用电子仪器测量相关点的数据与波形,以便准确判断设计电路的性能。装配前必须对元器件进行性能参数测试。根据设计任务的不同,有时需进行印制电路板设计制作,并在印制电路板上进行装配调试。

3 数字电子技术课程设计题目(A-E任选一题)

3.1 选题A:功能数字钟的电路设计

数字钟是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置。钟表的数字化在提高报时精度的同时,也大大扩展了它的功能,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯等。因此,研究数字钟及扩大其应用,有着非常现实的意义。

3.1.1 设计目的

(1)掌握数字钟的设计、组装与调试方法。

(2)熟悉集成电路的使用方法。

3.1.2 设计任务与要求

(1)时钟显示功能,能够以十进制显示“时”、“分”、“秒”。

(2)具有校准时、分的功能。

(3)整点自动报时,在整点时,便自动发出鸣叫声,时长1s。

3.1.3 选做

(1)闹钟功能,可按设定的时间闹时。

(2)日历显示功能。将时间的显示增加“年”、“月”、“日”。3.1.4 数字钟的基本原理及电路设计

一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。数字钟的整机逻辑框图,如图1所示。

图1数字钟整机逻辑图

3.1.

4.1 振荡器

石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。用反相器与石英晶体构成的振荡电路如图2所示。利用两个非门G1和G2自我反馈,使它们工作在线形状态,然后利用石英晶体Z1来控制振荡频率。振荡器振荡频率的精度与稳定度基本上决定数字钟的准确度,晶振频率越高,计时准确度越高。目前常见的石英晶振频率是4MHz时,则振荡器输出频率为4MHz。

图2石英晶体振荡电路

振荡器还可以采用555时基电路代替。

3.1.

4.2 分频器

时间标准信号的频率很高,要得到秒脉冲,需要分频电路。例如,振荡器输出4MHz信号,可通过D触发器(如74LS74)进行4分频变成1MHz,也可以将10分频计数器74LS160(或74LS90)行4分频变成1MHz,然后送到10分频计数器74LS160(或74LS90),经过6次10分频而获得1Hz的方波信号。

3.1.

4.3 计数器

整个计数器电路由秒计数器、分计数器、时计数器串接而成。秒计数器和分计数器各自由

一个十进制计数器和一个六进制计数器串接组成,形成两个六十进制计数器。时计数器可由两个十进制计数器串接并通过反馈接成二十四制计数器。

3.1.

4.4 译码器

译码器由六片74LS247(或74LS48)组成,74LS247驱动器是与8421BCD编码计数器配合用的7段译码驱动器。一片74LS247驱动一只数码,72LS247是集电极开路输出,为了限制数码管的导通电流,在72LS247的输出与数码管的输入端之间均应串有限流电阻。

3.1.

4.5 显示器

本系统用七段发光数码管来显示译码器输出的数字,发光数码管有两种:共阳极或共阴极。74LS247驱动器是低电平输出,采用共阳极数码管。

3.1.

4.6 校时电路

刚接通电源或走时不准时,都需要进行时间校准。实现校时电路的方法有很多,采用基本

R-S触发器构成单脉冲发生器是其中的一种,电路如图3所示。

图3校时电路

3.1.5 设计要点

(1)画出整个系统的电路图,并列出所需器件清单。

(2)采购器件,并按电路图接线,认真检查电路是否正确,注意器件管脚的连接,“悬空端”、

“清零端”、“置1端”要正确处理。

(3)调试振荡器电路,用示波器观察振荡频率输出。

(4)将振荡输出频率送入各分频器,观察其输出频率是否符合设计要求。

(5)检查各级计数器的工作情况。

(6)查校时电路的功能是否满足校时要求。

(7)分频器和计数器调试正常后,观察电子钟是否正常准确地工作。

3.2 选题B:交通灯控制电路设计

由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线内。实现红、绿灯的自动指挥对城市交通管理现代化有着重要的意义。

3.2.1 设计目的

(1)掌握交通灯控制电路的设计、组装与调试方法。

(2)熟悉数字集成电路的设计和使用方法。

3.2.2 设计任务与要求

(1)用红、绿、黄三色发光二极管作信号灯。

(2)当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红灯。

(3)主支干道交替允许通行,主干道每次放行30s、支干道20s。设计30s和20s计时显示电路。

(4)在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s的黄灯作为过渡,设置5s计时显示电路。

3.2.3 交通灯控制电路基本原理及电路设计

实现上述任务的控制器整体结构,如图4所示。

图4交通灯控制器结构图

3.2.3.1 主控制器

主控电路是本课题的核心,主要产生30s、20s、5s三个定时信号,它的输出一方面经译码后分别控制主干道和支干道的三个信号灯,另一方面控制定时电路启动。主控电路属于时序逻辑电路,可采用状态机的方法进行设计。

主干道和支干道各自的三种灯(红、黄、绿),正常工作时,只有4种可能,即4种状态:

主绿灯和支红等亮,主干道通行,启动30s定时器,状态为S0;

主黄灯和支红灯亮,主干道停车,启动5s定时器,状态为S1;

主红灯和支绿灯亮,支干道通行,启动20s定时器,状态为S2;

主红灯和支黄灯亮,支干道停车,启动5s定时器,状态为S3。

四种状态的转换关系,如图5所示。

未过20s

s

图5交通灯控制状态转换图

可用2个JK触发器表达上述四种状态的分配和转换。

3.2.3.2 计时器电路

这些计时器除需要秒脉冲作时钟信号外,还应受主控器的状态的控制。例如30s计时器应在主控器进入S0状态(主干道通行)时开始计时,同样20s计时器必须在主控器进入S2状态时开始计数,而5s 计时器则要在进入S1或S3状态时开始计数,待到规定时间分别使计数器复零。设计中30s计数器可以采用两个十进制计数器级连成三十进制计数器,为使复零信号有足够的宽度,可采用基本RS触发器组

成反馈复零电路。按同样的方法可以设计出20s和5s计时电路,与30s计时电路相比,后两者只是控制信号和反馈信号的引出端不同而已。

3.2.3.3 译码驱动电路

(1)信号灯译码电路

主控器的四种状态分别要控制主、支干道红黄绿灯的亮与灭。令灯亮为“1”,灯灭为“0”,

主干道红黄绿等分别为R、Y、G,支干道红黄绿等分别为r、y、g,则信号灯译码电路真值表为:

表1信号灯译码电路真值表

由真值表可进一步得到各灯的逻辑表达式,进而确定其电路形式。

(2)计时显示译码电路

计时显示实际是一个定时控制电路,当30s、20s、5s任一计数器计数时,在主支干道各自可通过数码管显示出当前的计数值。计数器输出的七段数码显示用BCD码七段译码器驱动即可,具体设计可参考课题一电子数字钟的译码、显示部分。

3.2.3.4 时钟信号发生器电路

产生稳定的“秒”脉冲信号,确保整个电路装置同步工作和实现定时控制。此电路与课

题一数字钟的秒脉冲信号产生电路相同,可参阅其中晶体振荡电

路、分频电路的设计。如果计时精确度要求不高,也可采用RC环形多谐振荡器。

3.2.4 设计要点

(1)画出整机电路图,并列出所需器件清单。

(2)采购器件,并按电路图接线,认真检查电路是否正确,注意器件管脚的连接,“悬空端”、

“清零端”、“置1端”要正确处理。

(3)秒脉冲信号发生器与计时电路的调试与上一设计相同。

(4)主控器电路的调试,可用逻辑开关K1、K2、K3分别代替L、S、P信号,秒脉冲作时钟信号,主控器状态应按状态转换图转换。

(5)如果以上逻辑关系正确,即可与计时器输出L、S、P相接,进行动态调试。

(6)信号灯译码调试亦是如此,先用两个逻辑开关K4、K5代替Q2、Q1,当Q2、Q1分别为00、01、10、11时,6各发光二极管应按设计要求发光。

(7)各单元电路均能正常工作后,即可进行总机调试。

3.3 选题C:简易数字频率计电路设计

数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。3.3.1 设计目的

(1)了解数字频率计测量频率与测量周期的基本原理;

(2)熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。

3.3.2 设计任务与要求

要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:

(1)测量范围:

1Hz—9.999KHz,闸门时间1s;

10Hz—99.99KHz,闸门时间0.1s;

100Hz—999.9KHz,闸门时间10ms;

1KHz—9999KHz,闸门时间1ms。

(2)显示方式:四位十进制数

(3)当被测信号的频率超出测量范围时,报警.

3.3.3 数字频率计基本原理及电路设计

所谓频率,就是周期性信号在单位时间(1s)内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为f x=N/T。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构,如图6所示。

图6数字频率计原理图

从原理图可知,被测信号V x经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率f x相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为f x,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率f x=N/T H z。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量

结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。

3.3.3.1 放大整形电路

放大整形电路可以采用晶体管3DGl00和74LS00,其中3DGl00组成放大器将输入频率为f x的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。

3.3.3.2 时基电路

时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。

图7555多谐振荡电路

555多谐振荡电路产生时基脉冲

采用555产生1000H Z振荡脉冲的参考电路如图7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。

由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555

广工数字逻辑实验八

__计算机__学院__软件工程__专业__班__组、学号__ 姓名_______协作者______________教师评定_________________ 实验题目__第八次实验——基于Libero的数字逻辑设计仿真及验证实验__ 1、熟悉SmartDesign工具的使用 2、综合实验的设计、仿真、程序烧录及验证

实验报告 一、实验目的 1、了解基于Verilog的组合逻辑电路的设计及其验证。 2、熟悉利用EDA工具(特别是SmartDesign)进行设计及仿真的流程。 3、学习利用SmartDesign对全加器进行VerilogHDL设计的方法。 4、熟悉实验箱的使用和程序下载(烧录)及测试的方法。 二、实验环境 1、Libero仿真软件。 2、DIGILOGIC-2011数字逻辑及系统实验箱。 3、Actel Proasic3 A3P030 FPGA核心板及Flash Pro4烧录器。 三、实验内容 1、跑马灯设计 设计要求: 共8个LED灯连成一排,用以下3种模式来显示,模式选择使用两个按键进行控制。 (1)模式1:先点亮奇数灯,即1、3、5、7灯亮,然后偶数灯,即2、4、6、8灯亮,依次循环,灯亮的时间按时钟信号的二分频设计。 (2)模式2:按照1、2、3、4、5、6、7、8的顺序依次点亮所有灯;然后再按1、2、3、4、5、6、7、8的顺序依次熄灭所有灯,间隔时间按时钟信号的八分频设计。 (3)模式3:按照1/8、2/7、3/6、4/5的顺序依次点亮所有灯,每次同时点亮两个灯;然后再按1/8、2/7、3/6、4/5的顺序熄灭相应灯,每次同时熄灭两个灯,灯亮的时间按时钟信号的四分频设计。 (4)模式4:自定义。 2、四位数码管扫描显示电路的设计 设计要求: 共4个数码管,连成一排,要求可以显示其中任意一个数码管。具体要求如下:(1)依次选通4个数码管,并让每个数码管显示相应的值,其结果由相应输入决定。 (2)要求能在实验箱上演示出数码管的动态显示过程。必须使得4个选通信号DIG1、DIG2、DIG3、DIG4轮流被单独选通,同时,在段信号输入口加上本人学号的后四位数据,这样随着选通信号的变化,才能实现扫描显示的目的(经验数据为扫描频率大于等于50Hz)。

电子技术课程设计题目

电子技术课程设计一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。 2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: 基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理 电路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。 为了保证单元电路达到设计要求,必须对某些单元电路进行参数计算和电子元件 选择,比如:放大电路中各个电阻值、放大倍数计算;振荡电路中的电阻、电容、振荡频率、振荡幅值的计算;单稳态触发器中的电阻、电容、输出脉冲宽度的计 算等;单元电路中电子元件的工作电压、电流等容量选择。

广工自动控制原理试卷答案

答案 一、填空题(每空1分,共15分) 1、稳定性 快速性 准确性 稳定性 2、()G s ; 3、微分方程 传递函数 (或结构图 信号流图)(任意两个均可) 4、劳思判据 根轨迹 奈奎斯特判据 5 01112()90()()tg T tg T ?ωωω--=--- 6、0()()()()t p p p i K de t m t K e t e t dt K T dt τ=++? 1()(1)C p i G s K s T s τ=++ 7、S 右半平面不存在系统的开环极点及开环零点 二、判断选择题(每题2分,共 20分) 1、A 2、B 3、D 4、C 5、C 6、B 7、A 8、C 9、C 10、D 三、(8分)建立电路的动态微分方程,并求传递函数。 解:1、建立电路的动态微分方程 根据KCL 有 2 00i 10i )t (u )]t (u )t (d[u )t (u )t (u R dt C R =-+- (2分) 即 )t (u )t (du )t (u )()t (du i 2i 21021021R dt C R R R R dt C R R +=++ (2分) 2、求传递函数 对微分方程进行拉氏变换得 )(U )(U )(U )()(U i 2i 21021021s R s Cs R R s R R s Cs R R +=++ (2分) 得传递函数 2 121221i 0)(U )(U )(R R Cs R R R Cs R R s s s G +++== (2分) 四、(共20分) 解:1、(4分) 22222221)()()(n n n s s K s K s K s K s K s K s R s C s ωξωωβ++=++=++==Φ

数字电路课程设计——多功能数字钟

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多功能数字钟的设计仿真与制作 初始条件: 利用集成译码器、计数器、定时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。(也可以使用单片机系统设计实现) 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。 2、技术要求: 错误!未找到引用源。设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 错误!未找到引用源。具有60进制和24进制(或12进制)计数功能,秒、分为60进制 计数,时为24进制(或12进制)计数。 ③有译码、七段数码显示功能,能显示时、分、秒计时的结果。 ④设计提供连续触发脉冲的脉冲信号发生器, ⑤具有校时单元、闹钟单元和整点报时单元。 ⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2009 年6 月20~22 日,查阅相关资料,学习设计原理。 2、2009 年6 月23~24 日,方案选择和电路设计仿真。 3、2009 年6 月25~27 日,电路调试和设计说明书撰写。 4、2009 年6 月28 日上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.绪论 (3) 2.Proteus软件介绍 (4) 3.总体方案的设计与实现 (6) 3.1 数字钟的原理框图 (6) 3.2 各模块功能分析 (6) 3.2.1晶体振荡器 (6) 3.2.2分频器 (7) 3.2.3时间计数单元 (8) 3.2.4译码驱动及显示单元 (10) 3.2.5校时电源电路 (10) 3.2.6整点报时电路 (11) 4.数字钟的安装与调试 (12) 5.数字钟的工作状态分析 (13) 5.1数字钟的工作过程及结果分析 (13) 5.2数字钟工作过程中出现的问题及解决方法 (13) 6.元件清单 (15) 7.数字钟仿真图 (16) 8.心得体会 (17) 9.参考文献 (18) 10.课程设计成绩评定表 (19)

模拟电子技术课程设计报告模板

模拟电子技术课程设计报告 设计课题: 数字电子钟的设计 姓名: 学院: 专业: 电子信息工程 班级: 学号: 指导教师:

目录 1.设计的任务与要求 (1) 2.方案论证与选择 (1) 3.单元电路的设计和元器件的选择 (5) 3.1 六进制电路的设计 (6) 3.2 十进制计数电路的设计 (6) 3.3 六十进制计数电路的设计 (6) 3.4双六十进制计数电路的设计 (7) 3.5时间计数电路的设计 (8) 3.6 校正电路的设计 (8) 3.7 时钟电路的设计 (8) 3.8 整点报时电路的设计 (9) 3.9 主要元器件的选择 (10) 4.系统电路总图及原理 (10) 5.经验体会 (10) 参考文献 (11) 附录A:系统电路原理图 (12) 附录B:元器件清单 (13)

数字电子钟的设计 1. 设计的任务与要求 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 1.1设计指标 1. 时间以12小时为一个周期; 2. 显示时、分、秒; 3. 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 4. 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; 5. 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。1.2 设计要求 1. 画出电路原理图(或仿真电路图); 2. 元器件及参数选择; 3. 编写设计报告写出设计的全过程,附上有关资料和图纸,有心得体会。 2. 方案论证与选择 2.1 数字钟的系统方案 数字钟实际上是一个对标准频率(1H Z)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1H Z时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

电子技术课程设计

电子技术课程设计PWM调制解调器 班级:电信1301 姓名:曹剑钰 学号:3130503028

一、设计任务与要求 1.要求 设计一款PWM(脉冲宽度调制)电路,利用一可调直流电压调制矩形波脉冲宽度(占空比)。 信号频率10kHz; 占空比调制范围10%~90%; 设计一款PWM解调电路,利用50Hz低频正弦信号接入调制电路,调制信号输入解调电路,输入与原始信号等比例正弦波。 2.提高要求: 设计一50Hz正弦波振荡电路进行PWM调制。 3.限制: 不得使用理想运放、二极管、三极管、场效应管; 基本要求的输入电压使用固定恒压源接自行设计的电路实现可调; 同步方波不得利用信号发生器等软件提供设备产生。 二、总体方案设计 1.脉宽调制方案: 方案一:三角波脉宽调制,三角波电路波形可以由积分电路实现,把方波电压作为积分电路的输入电压,经过积分电路之后就形成三角波,再通过电压比较器与可调直流电压进行比较,通过调节直流电源来调制脉宽。 方案二:锯齿波脉宽调制,锯齿波采用定时器NE555接成无稳态多谐振荡器,和方案一相似,利用直流电压源比较大小调节方波脉宽。 方案三:利用PC机接口控制脉宽调制的PWM电路。 比较:方案一结构简单,思路清晰,容易实现,元器件常用 方案二与方案一相似,缺点是调整脉冲宽度不如方案一 方案三元器件先进,思路不如方案一清晰简单,最好先择了方案一 2.正弦波产生方案: 方案一:RC正弦波振荡电路。 RC正弦波振荡电路一般用来产生1Hz--10MHz范围内的低频信号,由RC 串并联网络组成,也称为文氏桥振荡电路,串并联在此作为选频和反馈网络。电路的振荡频率为f=1/2πRC,为了产生振荡,要求电路满足自激震荡条件,振荡器在某一频率振荡的条件为:AF=1.该电路主要用来产生低频信号。

自动控制原理试卷包含答案

自动控制原理试卷 一. 是非题(5分): (1)系统的稳态误差有系统的开环放大倍数k 和类型决定的( ); (2)系统的频率特性是系统输入为正弦信号时的输出( ); (3)开环传递函数为)0(2>k s k 的单位负反馈系统能跟深速度输入信号( ); (4)传递函数中的是有量纲的,其单位为 ( ); (5)闭环系统的极点均为稳定的实极点,则阶跃响应是无 调的( ); 二. 是非题(5分): (1)为了使系统的过度过程比较平稳要求系统的相角裕量大于零( ); (2)Bode 图的横坐标是按角频率均匀分度的,按其对数值标产生的( ); (3)对于最小相位系统,根据对数幅频特性就能画出相频特性( ); (4)单位闭环负反馈系统的开环传递函数为) ()()(s D s N s G =,劳斯稳定判据是根据)(s D 的系数判闭环 系统的稳定性( );奈奎斯特稳定判据是根据)(s G 的幅相频率特性曲线判闭环系统的稳定性 ( )。 三. 填空计算题(15分): (1)如图所示:RC 网络,其输出)(t u c 与输入)(t u r 的微分方程描述为 ,假定在零初始条件下,系统的传递函数)(s φ= ,该系统在)(1)(t t u r =作用时,有)(t u c = 。 (2)系统结构如图,该系统是 反馈系 统,是 阶系统,是 型系统,若要使系统的放大系数为1,调节时间为0.1秒(取%σ的误差带),0k 应为 ,t k 应

为 。 (3)如果单位负反馈系统的开环传递函数是) )(()()(b s a s c s k s G +++=,该系统是 阶系统,是 型系统,该系统的稳态位置误差系数为 ,稳态速度误差系数为 ,稳态加速度误差系数为速度误差系数为 。 四. 是非简答题(5分): (1)已知某系统的开环传递函数在右半s 平面的极点数为,试叙述Nyquist 稳定判据的结论。 (2)试叙述系统稳定的充分必要条件。 (3)系统的稳定性不仅与系统结构有关,而且与输入信号有关,该结论是否正确。 (4)增加系统的开环放大倍数,系统的稳定性就变差,甚至变为不稳定,该结论是否正确。 五.计算题(10分) 已知某电路的微分方程为: t t i d t i C t U t U t i R t U d t i t i C t U t U t i R t U ??=+=-=+=)(1)()()()(])()([1)() ()()(22002212111111 其中)(t U i 为输入,)(0t U 为输出,2211,,,C R C R 均为常数,试建立系统方筷图,并求传递函数。 六. 计算题(15分) 某非单位反馈控制系统如图所示,若),(1*20)(t t r =,(1)求系统的稳态输出)(∞c ,及max c ,超调量%σ和调整时间s t 。(2)试画出单位阶跃响应曲线,并标出s t 及max c ,)(∞c 。

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

数字电子技术基础课程设计DT-830B数字万用表报告

数字电子技术基础课程设计DT-830B数字万用表报告

三亚学院 2011~2012学年第2学期 数字电子技术基础课程设计报告 学院: 理工学院 专业: 测控技术与仪器 班级: 学号: 学生姓名: 指导教师: 2012年9月7日

目录 一、设计任务与要求……………………………………… 二、电路原理……………………………………………… 三、总原理图及元器件清单……………………………… 四、装配过程……………………………………………… 五、电路功能测试………………………………………… 六、结论与心得……………………………………………

DT-830B数字万用表的组装与调试 一、设计任务与要求 1、设计要求: 学习了解DT830B数字万用表,熟悉它的工作原理。然后安装并调试数字万用表。通过对DT830B数字万用表的安装与调试实训,了 解数字万用表的特点,熟悉装配数字万用表的基本工艺过程、掌握基本 的装配技艺、学习整机的装配工艺、培养自身的动手能力以及培养严谨 的学习工作作风。 DT830B由机壳熟料件(包括上下盖和旋钮)、印制板部件(包括插口)、液晶屏及表笔等组成,组装成功关键是装配印制板部件。因为 一旦被划伤或有污迹,将对整机的性能产生很大的影响。整机安装的流 程图如下所示: 3)认识DT830B数字万用表的液晶显示器件、印制板部件等。 4)安装制作一台DT830B数字万用表。 5)根据技术指标测试DT830B数字万用表的主要参数 6)校验数字式万用表,减小其误差。

二、电路原理 DT830B电路原理它是3位半数字万用表。 数字万用表的核心是以ICL7106A/D转化器为核心的数字万用表。A/D转化器将0~2V范围的模拟电压变成三位半的BCD码数字显示出来。将被测直流电压、交流电压、直流电流及电阻的物理量变成0~2V的直流电压,送到ICL7106的输入端,即可在数字表上进行检测。 为检测大于2V的直流电压,在输入端引入衰减器,将信号变为0~2V,检测显示时再放大同样的倍数。 检测直流电流,首先必须将被测电流变成0~2V的直流电压即实现衰减与I/V 变换。衰减是有精密电阻构成的具有不同分流系数的分流器完成。 电阻的检测是利用电流源在电阻上产生压降。因为被测电阻上通过的电流是恒定的,所以在被测电阻上产生的压降与其阻值成正比,然后将得到的电压信号送到A/D转换器进行检测。 三、总原理图及元器件清单

广工EDA数字逻辑第5章

5.7 EDA开发综合实例3:SmartDesign的使用 在Libero中,除了可以编写程序实现相应设计外,还可通过可视化操作方式(“SmartDesign”软件),对现成的模块进行连线和拼装,实现特定的功能。 下例采用可视化方法实现1位全加器,再改造为2位串行进位加法器,操作过程既有通过编写代码建立模块,也有调用现成模块,还有通过IP核创建实例模块,并对多个模块进行拼装和测试。 5.7.1 使用半加器构造全加器 通过半加器来构造全加器的方法在4.7.3中讨论了,以下的模块及其连接均基于图4-24完成。 1.新建工程 打开Libero IDE,选择“Project”菜单的“New Project”命令,输入项目名称、选择项目存放路径,选择语言Verilog(如图5-62所示)。设备的选择同5.6中的实例2。 2.新建SmartDesign设计 在“Project Manager”中点击“SmartDesign”按钮(如图5-63),在弹出的对话框中输入设计名称,如图5-64所示。

工作区中会显示打开了“adders”设计的画布,但画布是一片空白,如图5-65所示。 3.添加半加器模块 点击“Project Flow”切换回项目流程,点击“HDL Editor”按钮,输入并新建Verilog 程序文件。如图5-66所示:

在打开的文件中输入半加器程序代码,代码同4.7.3中的半加器设计。 项目会把第一个建立的模块或设计作为“根”(Root),并加粗显示,如果项目中的根不是“adders”,则可在“Design Explorer”窗口中对着“adders”按右键,选择“Set As Root”进行修改。如图5-68所示:

电子技术课程设计的基本方法和步骤模板

电子技术课程设计的基本方法和步骤

电子技术课程设计的基本方法和步骤 一、明确电子系统的设计任务 对系统的设计任务进行具体分析, 充分了解系统的性能、指标及要求, 明确系统应完成的任务。 二、总体方案的设计与选择 1、查阅文献, 根据掌握的资料和已有条件, 完成方案原理的构想; 2、提出多种原理方案 3、原理方案的比较、选择与确定 4、将系统任务的分解成若干个单元电路, 并画出整机原理框图, 完成系统的功能设计。 三、单元电路的设计、参数计算与器件选择 1、单元电路设计 每个单元电路设计前都需明确本单元电路的任务, 详细拟订出单元电路的性能指标, 与前后级之间的关系, 分析电路的组成形式。具体设计时, 能够模拟成熟的先进电路, 也能够进行创新和改进, 但都必须保证性能要求。而且, 不但单元电路本身要求设计合理, 各单元电路间也要相互配合, 注意各部分的输入信号、输出信号和控制信号的关系。 2、参数计算 为保证单元电路达到功能指标要求, 就需要用电子技术知识对参数进行计算, 例如放大电路中各电阻值、放大倍数、振荡器中电阻、电容、振荡频率等参数。只有很好地理解电路的工作原理, 正确利用计算公式, 计算的参数才能满足设计要求。 参数计算时, 同一个电路可能有几组数据, 注意选择一组能完成

电路设计功能、在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流、电压、频率和功耗等参数应能满足电路指标的要求。 (2)元器件的极限必须留有足够的裕量, 一般应大于额定值的 1.5倍。 (3)电阻和电容的参数应选计算值附近的标称值。 3、器件选择 ( 1) 阻容元件的选择 电阻和电容种类很多, 正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同, 有些电路对电容的漏电要求很严, 还有些电路对电阻、电容的性能和容量要求很高, 例如滤波电路中常见大容量( 100~3000uF) 铝电解电容, 为滤掉高频一般还需并联小容量( 0.01~0.1uF) 瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件, 并要注意功耗、容量、频率和耐压范围是否满足要求。 ( 2) 分立元件的选择 分立元件包括二极管、晶体三极管、场效应管、光电二极管、晶闸管等。根据其用途分别进行选择。选择的器件类型不同, 注意事项也不同。 ( 3) 集成电路的选择 由于集成电路能够实现很多单元电路甚至整机电路的功能, 因此选用集成电路设计单元电路和总体电路既方便又灵活, 它不但使系统体积缩小, 而且性能可靠, 便于调试及运用, 在设计电路时颇受欢迎。选用的集成电路不但要在功能和特性上实现设计方案, 而且要满足功耗、电压、速度、价格等方面要求。 4、注意单元电路之间的级联设计, 单元电路之间电气性能的 相互匹配问题, 信号的耦合方式

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

电子技术乒乓球比赛游戏机课程设计报告书

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的容是独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 1.1.2 课题的容和要求 独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体容如下:乒乓球比赛是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判),乒乓球比赛模拟机是用发光二极管(LED)模拟乒乓球运 乒乓球比赛模拟机框图 设计要求:

1、基本部分 (1) 至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从由到左移动,“球”的移动速度能由时钟电路调节。 (2) 当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。 (3) 设计自动记分电路,甲乙双方各用一位数码管显示得分,每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分,电路自动响铃3秒,此期间发球无效,等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 1.2 方案选择 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计:

数字逻辑状态机例子

Digital System Design 1 2011/6/21 Computer Faculty of Guangdong University of Technology 例:用三进程状态机实现一个简单自动售货机控制电路,电路框图如下。该电路有两个投币口(1元和5角),商品2元一件,不设找零。In[0]表示投入5角,In[1]表示投入1元,Out 表示是否提供货品。

Digital System Design 2 2011/6/21 Computer Faculty of Guangdong University of Technology 根据题意,可分析出状态机的状态包括: S0(00001):初始状态,未投币或已取商品 S1(00010):投币5角 S2(00100):投币1元 S3(01000):投币1.5元 S4(10000):投币2元或以上 用独热码表示状态编码,如上所示。相应状态转换图如下(按Moore 状态机设计)。

Digital System Design 3 2011/6/21 Computer Faculty of Guangdong University of Technology 自动售货机状态转换图

Digital System Design 4 2011/6/21 Computer Faculty of Guangdong University of Technology 设计代码 第一个Always 块:状态转移。

Digital System Design 5 2011/6/21 Computer Faculty of Guangdong University of Technology 第二个Always 块:状态转移的组合逻辑条件判断

广东工业大学教学大纲编写意见

广东工业大学教学大纲编写意见 广东工业大学教务处 根据广东工业大学《关于做好今年上半年迎接本科教学评估有关工作的通知》(广工大教字〔2004〕9号)要求,现对编写《广东工业大学本科专业主干课程教学大纲》(交稿时间为2004年6月30日)、《广东工业大学实验课程教学大纲》(交稿时间为2004年3月30日)和《广东工业大学实习大纲》(交稿时间为2004年5月30日)的有关事项提出以下意见,供编写时参考。 一、基本要求 1.课程教学大纲是执行专业培养计划、实现培养目标要求的教学指导文件,是编写教材、组织教学、进行课堂教学质量评价和教学管理的主要依据,由主讲教师会同课程小组起草,教研所(室)审订,学院、学校教学指导委员会两级审批后实施。 2.课程教学大纲的主要内容包括:课程的性质和目的(任务),课程的教学内容,课程 教学的基本要求,本课程与其它课程的联系与分工,建议教材及教学参考书等。 3.课程的性质和目的(任务)是课程教学大纲的核心,要写明本课程在人才培养方案中 的地位及作用,学生学习本课程后知识、能力等方面应达到的目标和要求。 4.课程的教学内容,是根据课程目的对课程内容安排的一个总设计,应分章节按要求学生掌握的程度详细编写。 5.课程教学的基本要求是对已确定的课程内容进行实施的要求和达到教学目的要采取的 措施,应按各个教学环节:课堂教学、实验、作业、课程设计、考试等逐一设计、规划。 6.本课程与其它课程的联系与分工,在于说明本课程的先修课及后续课及其联系与分工, 避免课程内容重复,并使之具有较好的衔接性。 7.课程教学大纲中指定的建议教材及教学参考书应择优选择,且与设定的教学内容能较 好的吻合。 8.课程教学大纲制定要符合时代要求,要突出体现教育教学观念的更新和教育思想的转 变。

广工自动化学院-数电实验-题目:可编程逻辑器件FPGA(计数译码显示系统设计)

广东工业大学实验报告 学院:自动化专业:电力系统自动化11级4班 姓名:xxx 学号:xxxxxxxx 实验日期:2013年5月29日实验地点:实二212 可编程逻辑器件FPGA实验二 计数译码显示系统设计 一、实验目的 1、掌握中规模集成计数器的逻辑功能,以及任意进制计数器的设计方法 2、熟悉显示译码器和数码管的原理及设计应用 3、了解用数字可编程器件实现集成计数译码显示电路的方法 4、学会分频器的使用 5、进一步熟悉QUARTUS软件的基本使用方法 二、实验原理 1、计数器 a)74LS161是十六进制计数器,每输入16个计数脉冲计数器工作一个循环,并在输出端产生一个进 位输出信号,是一个4位同步二进制加法计数器。不仅如此,还具有预置数、保持和异步置零等附加功能。 b)74LS190是十进制计数器,每输入10个计数脉冲计数器工作一个循环,并在输出端产生一个进位/ 借位输出信号,是一个同步加/减计数器。同一般计数器一样,具有预置数、保持和异步置零等附加功能,而且,还多了一个选择电路加、减法的功能。 2、显示译码器和数码管 显示译码器分为:七段字符显示器(简称七段数码管)和BCD-七段显示 数码显示器分为:发光二极管数码管(LED数码管)和液晶显示数码管(LCD数码管) 3、分频器 分频器用于对较高频率的时钟脉冲进行分频操作,得到较低频率的信号,可用于计数。 三、实验内容 1、用74161设计一个十九进制的计数器 (1)原理图

关于加法器仿真的说明:实验要求输入50Mhz的高频率时钟脉冲,通过74292产生1秒左右的的输入时钟,但在仿真过程中,如果按照实际操作,仿真时间会比较长,而要验证加法器的计数是否正确,可以通过以下方法验证: 1.将输入50MHZ的输入端和分频器74292两个部分与右边的电路分开,放置一边; 2.重新给右边电路一 个输入信号,通过一个普通输入端,和一个周期为10ns的时钟脉冲,然后进行仿真即可,结果如下图所示。 (2)功能仿真波形 (3)时序仿真波形 2、用74190设计一个十二进制减法计数器 (1)原理图

电子技术课程设计

电子技术 课程设计 成绩评定表 设计课题:串联型连续可调直流稳压正电源电路学院名称: 专业班级: 学生姓名: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:串联型连续可调直流稳压正电源电路专业班级: 学生姓名: 学号: 指导教师: 课程设计地点:31-225 课程设计时间:2014-7-7~2014-7-14

电子技术课程设计任务书

目录 前言 (5) 1串联型连续可调直流稳压正电源 (5)

1.1 设计方案 (5) 1.2 设计所需要元件 (7) 2 设计原理 (8) 2.1 电源变压部分 (9) 2.2 桥式整流电路部分 (10) 2.3 电容滤波电路部分 (11) 2.4 直流稳压电路部分 (12) 2.5 原理及计算 (14) 3 电路仿真 (15) 4 电路连接测试 (16) 4.1使用仪器 (16) 4.2.测试结果 (16) 5 设计体会 (17) 参考文献 (19) 串联型连续可调直流稳压正电源电路 引言 随着社会的发展,科学技术的不断进步,对电子产品的性能要求也更高。我们做为21世纪的一名学电子的大学生,不仅要将理论知识学

会,更应该将其应用与我们的日常生活中去,使理论与实践很好的结合起来。电子课程设计是电子技术学习中的一个非常重要的实践环节,能够真正体现我们是否完全吸收了所学的知识。 目前,各种直流电源产品充斥着市场,电源技术已经比较成熟。然而,基于成本的考虑,对于电源性能要求不是很高的场合,可采用带有过流保护的集成稳压电路,同样能满足产品的要求。 本次设计的题目为设计一串联型可调直流稳压正电源:先是经过家用交流电源流过变压器得到一个大约十五伏的电压U1,然后U1经过一个桥堆进行整流在桥堆的输出端加两个电容C1、C2进行滤波,滤波后再通过LM7812(具体参数参照手册)输出一个固定的12V电压,这样就可以在一路输出固定的电压。在LM7812的输出端加一个电阻R3,调整端加一个固定电阻R1和一电位器R2,这样输出的电压就可以在5~12V范围内可调。 经过自己对试验原理的全面贯彻,以及相关技术的掌握,和反复的调试,经过自己的不断的努力,老师的耐心的指导,终于把这个串联型输出直流稳压输出正电源电路设计出来了。 1串联型连续可调直流稳压正电源 1.1 设计方案 本电路由四部分组成:变压电路、整流电路、滤波电路、稳压电路。 (1)变压电路:本电路使用的降压电路是单相交流变压器,选用电压和功率依照后级电路的设计需求而定。 (2)整流电路:整流电路的主要作用是把经过变压器降压后的交流电通过整流变成单个方向的直流电。但是这种直流电的幅值变化很大。它主要是通过二极管的截止和导通来实现的。常见的整流电路主要有半波整流电路、桥式整流电路等。我们选取桥式整流电路实现设计中的整流功能。 (3)半波整流:

数字电子技术课程设计说明书

数字电子技术 课程设计说明书 题 目:生产线自动装箱计数监控器 逻辑电路设计与实验 学生姓名:潘垒坚 学 院:电力学院 班 级:自动化13-1 指导教师:王艳荣 2015年7月 日 学校代码:10128 学 号: 201311204018

摘要 自动化系统不仅已成为了工业和社会生活的一个组成部分,而且是经济发展平的重要标志。工业生产中常常需要自动统计产品的数量并实现对生产线的整体控制,本次设计的生产线自动装箱计数监控器采用光电传感器与计数器相结合的方法实现对物件的数目统计。设计中采用光电传感器将光信号转化为电信号产生正弦脉冲,通过放大滤波整形电路将信号整形为矩形脉冲。将该脉冲通过“罐、箱”计数器实现对罐和箱的计数,最终在数码显示管上显示所计数目。设计还可以通过一系列控制电路实现在箱子未满时持续加入饮料罐,在箱子已满时设备停止工作直到下一空箱到来后设备再次启动并重新加入饮料罐,同时整体电路也可以手动启动、停止和清零控制。本设计经Multisim和实测验证均可达到预期要求。 关键词:光电传感器;自动统计;计数监控器;滤波整形;控制电路

目录 一、设计任务概述...................................... 错误!未定义书签。 1.1设计题目 (1) 1.2设计目的 (2) 1.3设计内容和要求 (2) 1.3.1设计内容 (2) 1.3.2设计要求 (2) 二、设计方案论证及方框图 (2) 2.1题目要求简析 (2) 2.2方案设计与论证 (2) 2.2.1方案一 (2) 2.2.2方案二 (2) 2.2.3方案选择 (2) 2.3电路分块 (3) 2.4电路结构方框图 (3) 三、电路组成及工作原理 (4) 3.1信息采集电路...................................... 错误!未定义书签。 3.1.1信号产生 (4) 3.1.2信号放大 (4) 3.1.3信号整形 (5) 3.2计数显示电路 (5) 3.2.1罐计数显示电路 (6) 3.2.2箱计数显示电路 (6) 3.2.3计数显示总电路 (7) 3.3状态控制电路 (7) 3.4总电路原理图 (9) 四、电路元器件选择与实际测试 (9)

电力电子技术课程设计报告

电力电子课程设计报告题目三相桥式全控整流电路设计 学院:电子与电气工程学院 年级专业:2015级电气工程及其自动化 姓名: 学号: 指导教师:高婷婷,林建华 成绩:

摘要 整流电路尤其是三相桥式可控整流电路是电力电子技术中最为重要同时也是应用得最为广泛的电路,不仅用于一般工业,也广泛应用于交通运输、电力系统、通信系统,能源系统及其他领域,因此对三相桥式可控整流电路的相关参数和不同性质负载的工作情况进行对比分析与研究具有很强的现实意义,这不仅是电力电子电路理论学习的重要一环,而且对工程实践的实际应用具有预测和指导作用,因此调试三相桥式可控整流电路的相关参数并对不同性质负载的工作情况进行对比分析与研究具有一定的现实意义。 关键词:电力电子,三相,整流

目录 1 设计的目的和意义………………………………………1 2 设计任务与要求 (1) 3 设计方案 (1) ?3.1三相全控整流电路设计 (1) 3.1.1三相全控整流电路图原理分析 (2) ?3.1.2整流变压器的设计 (2) ?3.1.3晶闸管的选择 (3) 3.2 保护电路的设计 (4) 3.2.1变压器二次侧过压保护 (4) ?3.2.2 晶闸管的过压保护………………………………………………4 3.2.3 晶闸管的过流保护………………………………………………5 3.3 触发电路的选择设计 (5) 4 实验调试与分析 (6) 4.1三相桥式全控整流电路的仿真模型 (6)

4.2仿真结果及其分析……………………………………………7 5 设计总结 (8) 6 参考文献 (9)

1 设计的目的和意义 本课程设计属于《电力电子技术》课程的延续,通过设计实践,进一步学习掌握《电力电子技术》,更进一步的掌握和了解他三相桥式全控整流电路。通过设计基本技能的训练,培养学生具备一定的工程实践能力。通过反复调试、训练、便于学生掌握规范系统的电子电力方面的知识,同时也提高了学生的动手能力。 2 设计任务与要求 三相桥式全控整流电路要求输入交流电压2150,10,0.5U V R L H ==Ω=为阻 感性负载。 1.写出三相桥式全控整流电路阻感性负载的移相范围,并计算出直流电压的变化范围 2.计算α=60°时,负载两端电压和电流,晶闸管平均电流和有效电流。 3.画出α=60°时,负载两端 d U 和晶闸管两端 1 VT U 波形。 4.分析纯电阻负载和大电感负载以及加续流二极管电路的区别。 5.晶闸管的型号选择。 3 设计方案 3.1三相全控整流电路设计

相关主题