搜档网
当前位置:搜档网 › 复杂模型机的设计与实现

复杂模型机的设计与实现

复杂模型机的设计与实现
复杂模型机的设计与实现

复杂模型机的设计与实现

一.课程设计题目:

复杂模型机的设计与实现

二.设计目的

综合运用所学计算机原理知识,设计并实现较为完整的计算机。

三.实验环境

1.硬件环境:

A.Dais-CMB+计算机组成原理教学实验系统一台,排线若干。

B.PC机

2.软件环境

A.操作系统。

B.Dais-CMB+应用软件。

四.设计要求

1.熟悉Dais-CMB+应用软件的工作环境,掌握各种功能的使用。

2.拟定数据格式及指令系统:

A.数据格式

拟采用定点补码表示数据,且字长为8位,其格式如下:

其中第7-1≤X<1。

B.指令格式

模型机设计四大类指令格式共16条,其中包括算术逻辑指令、I/O指令、访问及转移指令和停机指令。

算术逻辑指令:设计9条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下:

其中OP-CODE为操作码,RS为原寄存器,rd 为目的寄存器,并规定:

9。

访问指令及转移指令:模型机设计2条访问;指令,即存数(STA)、取数(LDA),2条转移指令,即无条件转移(JMP)结果为零或有进位转移指令(BZC),指令格式为:

其中)。D为位移量(正负均可),M为寻址模式,其定义如下:

I/O指令:输入(IN)和输出(OUT)指令采用单字节指令,其格式如下:

其中,addr =11时,选中“OUTPUT DEVICE”中的LED作为输出设备。

停机指令:指令格式如下:

HALT

C.指令系统

本模型机共有16条指令,其中算术逻辑指令7条,访问内存指令和程序控制指令4条,输入输出指令2条,其他指令1条。表6-1列出了各条指令的格式、

汇编符号、指令功能。

表1

3.总体设计:

模型机的数据通路框图参照图1,要求如下:

(1)选用适当软件画出数据通路框图;

(2)写出图中选用芯片的逻辑功能,并标出外引脚;

(3)说明图中各芯片的作用;

(4)列出图中所有微操作信号,说明其功能。

B.写出上图中选用芯片的逻辑功能,并标出外引脚;74LS161N芯片介绍:

1.芯片图:

2.逻辑功能:

74LS161为异步清零计数器

74LS161功能表

RD LD ET EP CP D3 D2 D1D0Q3Q2 Q1 Q0

0 ××××××××0 0 0 0

1 0 ××↑ D C B A D C B A

1 1 0 ××××××保持

1 1 ×0 ×××××保持

1 1 1 1 ↑××××计数

74LS181芯片介绍:

1.芯片图:

2.逻辑功能:

74LS181为算术逻辑单元/函数产生器。181能执行16种算术运算和16种逻辑运算,当工作方式控制端(M)为低点平时执行算术运算,当工作方式控制端(M)为高电平时执行逻辑运算。运算功能由选择端(S0-S3)决定。

其功能表如下:

1.芯片图:

2.逻辑功能:

SN74LS273是一个8位的高速寄存器,这种触发器由8种D触发器组成,具

有共同的时钟和异步活动的低电平控制端。

74LS299芯片介绍:

1.芯片图:

2.逻辑功能:

74LS373芯片介绍:

1.芯片图:

2.逻辑功能:

74LS273为8D触发器,CLR为低电平有效的清除端,当CLR=0时,输出全为0且与其他输入端无关;CP端是时钟信号,当CP由低电平向高电平跳变时刻,D端输入数据传送到Q输出端。

74LS373是带三态缓冲输出的8D锁存器,由于单片机的三总线结构中,数据线与地址线的低8位共用P0口,因此必须用地址锁存器将地址信号和数据信号区分开。74LS373的锁存控制端G直接与单片机的锁存控制信号ALE相连,在

ALE的下降沿锁存低8位地址。

6116芯片介绍:

1.芯片图

2.逻辑功能

6116有11条地址线A0~A10;8条双向数据线I/O0~I/O7;CE为片选线,低电平有效;WE写允许线,低电平有效;OE读允许线,低电平有效。6116的操作方式如下表所示。

表: 6116功能表:

4.设计微程序流程:

根据机器指令系统要求,设计微程序流程图并确定微地址。(参考图2)要求:

●拟出各指令的读取与执行流程,及其操作时间表。

●按照系统建议的微指令格式,参照微指令流程图,将每条指令代码化,译成二进制代码表,并将二进制代码表转移为联机操作时的十六进制格式文件

A字段

B字段

说明:DDR1表示LDDR1;DDR2表示LDDR2

5.编写程序:

(1)利用PC机编写程序,并记录单步,宏单运行时的微指令显示,微地址显示,数码管显示结果;

(2)分析单步运行时数据通路和各部件的运行情况。

编写的程序如下:

P00 84 ; IN R0

P01 85 ; IN R1

P02 21 ; ADD 30H ,R1

P03 30

P04 11 ; MOV R1,R2

P05 F2 ; RLC R2

P06 E0 ; RL R0

P07 22 ; ADD R2 ,R0

P08 C0 ; RRC R0

P09 60 ; STA 0BH ,R0

P0A 0B

P0B 80 ; OUT BUS ,0AH

P0C 0A

P0D A0 ; JMP 00H

P0E 00

P0F C0 ; HAIT

五.处理程序:

1.机器程序和与其对应的微控制程序的写入:

在联机状态下,首先应打开cmb1.abs(模型机〈一〉机器指令及对应微指令编辑文本),然后点击“!”图标进入连接装载,一旦屏幕自动弹出动态调试窗口表示代码及微代码下载已成功,进入Windows95在线集试调试环境。

2.运行程序:

●单步运行微程序

键入数字键00(PC地址从00H开始),然后每按动一次“单步”命令键,运行一条微指令。对照微程序流程图,观察微地址显示灯是否和流程一致。

●单步运行机器程序

键入数字键00H(PC地址),然后每按动一次“宏步”命令键,运行一条机器指令。对照机器指令程序,观察微地址显示灯是否和流程一致。

●程序设连续运行与暂停程序

键入数字键00H(PC地址),按动“运行”命令键使模型机进入实时运行状态。在实时运行状态按“宏单”键执行的暂停命令,使模型机进入停机状态,参照机器指令及微程序流程图,将实验现象与理论分析比较。

3.程序装载

在联机状态下,首先应打开(模型机〈三〉机器指令及对应微程序编辑文本),然后单击“!”图标进入连接装载,一旦屏幕自动弹出动态调试框表示代码代码及微代码已下载成功,已进入在线集试调试环境:使用者若打开示图窗后再点击与运行相关的图标,PC以追踪方式动态显示模型机运行轨迹。

六.程序运行结果记录及分析:

七.实习总结:通过对〈〈计算机组成原理〉〉的学习,深刻体会到了计算机的硬件和软件是不可分割的整体,它们统一完成一项重要的任务,同时懂得硬件与软件之间的可以相互替代,但是硬件完成的效率要快一些,而软件完成要比较慢些。这次的微程序的设计运行及调试给了我们很深的影响,表现在我们的动手能力比较差。但是这次的任务比较重,主要是对硬件知识了解的不够透彻不够熟悉,才导致了我们不能很快的完成任务。我们通过上网查资料,找书看各种渠道的方法找关于实验的方面的资料,好比,各种芯片及其个引角的功能,仔细的研究各芯片之间的联系,把所要设计的电路图设计完整。

通过这次的实验我们懂得了不少的东西以及心得体会,主要有完成一件任务是要独立的完成,养成一个良好的习惯,学会利用各种的资源来查找资料,(学会学习),还有是在设计当中知道了很多芯片的功能,完成某项功能,如何进行巧妙的设计电路,懂得的算术器,控制器,存储器的结构和运行过程,这对我们来说是一次很大的收获。

还有就是在实验当中懂得怎么样把汇编语言换成机器所能执行的机器代码,而不能直接执行人门所能理解的语言,那是不行的,计算机只懂得它所固定格式的代码命令,所以计算机执行的都是固定格式的机器代码,人们看到和编写的只是人们所理解的字符,执行人们所能理解的字符时,还得将其转化成相应的机器代码才能执行。通过的所学知识的设计电路是维持计算机工作的核心部件,没有它将不能做任何事情。

通过记录微程序在微单步的执行下的步骤,可以清楚的看到一切的执行步骤和流程,懂得控制平台的控制控制程序及其工作步骤,在此一切的数据流下及其取指令的流程都一清二楚。观测记录所得执行一条汇编指令,机器就可能执行机器代码好几行的命令。各模块之间的相互传输及调用,看到流程的一切动向,最终学会设计一个简单的数据流程图。

基本模型机的设计与实现课程设计报告

基本模型机的设计与实现课程设计报告 https://www.sodocs.net/doc/452101146.html,/maria87328/archive/2008/01/13/2041130.aspx 一、实验基本任务 1、由基本单元电路构成一台基本模型机。 2、设计五条机器指令,并编写相应的微程序。 3、调试指令和模型机使其在微程序的控制下自动产生各部件单元的控制信号正常工作。 二、设计方案 1、硬件设计 (1)设计微程序控制电路 微程序控制器的组成:控制存储器:EPROM2816*3,8D触发器74ls273*2,4D触发器74ls74*3;微指令寄存器格式:18位微指令,6位微地址。 (2)设计时钟信号源和时序控制电路 时钟信号源的组成:时基电路555,可触发单稳态多谐振荡器74ls237*2,输出频率为330-580Hz的方波信号。 时序控制电路:4D触发器74ls175*1组成移位寄存器电路。 (3)设计主存储器 主存电路的组成:6264存储器(8K*8位)*3,地址寄存器:74ls273*1,三态门:74ls245*1。 2、微控制设计 (1)实现存储器读操作; 拨动总清开关后,置控制开关SWC、SW A为“0 0”时,按要求连线后,连续按动“启动运行”开关,可对主存储器RAM连续手动读操作。 (2)实现存储器写操作; 拨动总清开关后,置控制开关SWC、SW A为“0 1”时,按要求连线后,再按动“启动运行”开关,可对主存储器RAM 连续手动写入。 (3)实现程序运行操作。 拨动总清开关后,置控制开关SWC、SW A为“1 1”时,按要求连线后,再按动“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

计算机组成—复杂模型机方案

课程设计:计算机组成原理 题目名称:复杂模型机的设计 姓名: 学号:1108020184 1108020185 班级:网络工程1101班 完成时间:2018年1月6日1设计目的:建立清晰完善的整机概念; 学习设计与调试计算机的基本方法;培养严谨的科研作风和独立工作能力。 2设计任务: 综合运用所学的计算机原理知识,按给定的指令系统分和数据格式,在所提供的设备范围内,设计一台字长八位的由微程序控制器来控制的模拟计算机。设计并实现较为完整的八位模型计算机。 设计微程序控制器的逻辑原理电路图;

设计微地址转移的逻辑电路图; 设计微程序流程图; 设计说明书。 3设计指标: 字长八位; 时钟源MF=QB=1us 内存容量不得小于2 8; 指令系统不得小于十四条。要求算术逻辑指令七条、访问内存和控制指令四条、输入输出指令两条、其他指令一条。 4设计说明: 4.1数据格式 模型机规定采用定点补码表示法表示数据,且字长为8位,其格式如下: 其中,第7位为符号位,数值的表示范围是:-1乞X <1 模型机设计四大类指令共16条,其中包括算术逻辑指令、I/O指令、访问及转移指令和停机指令。 [1]算术逻辑指令 设计九条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址, 其格式如下: 其中,OP-COD为操作码,Rs为源寄存器,Rd为目的寄存器,并规定: [2]访存指令及转移指令 模型机设计两条访问指令,即存数(STA>、取数(LDA>,两条转移指令, 即无条件转移(JMP>结果为零或有进位转移指令(BZC>。其格式如下:

D 其中,OP-CODE^操作码,Rd为目的寄存器,D为位移量(正负均可>, M为寻址方式,其定义如下: 本模型机规定变址寄存器RI指定为寄存器R2 [3]I/O 指令 输入v IN)和输出<OUT)指令采用单字节指令,其格式如下: 其中,addr=01时,表示选中“输入单元”中的开关组作为输入设备, addr=10时,表示选中“输出单元”中的数码管作为输出设备。 [4]停机指令 这类指令只有1条,即停机指令HALT用于实现停机操作,指令格式如 4. 2系统指令 本模型机共有1 4条基本指令。其中,算术逻辑指令6条,移位指令2条,访问内存指令和程序控制指令3条,输入输出指令2条,其他它指令1条。表1列出了各条指令的格式、汇编符号、指令功能。

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师: 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书

目录 课程设计(大作业)报告 一、课程设计的教学目的 1. 在“微程序控制器的组成与微程序设计实验”的基础上,进一步将其中各单元组成系统构造一台模型计算机。 2. 本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。 课程设计内容设计一台基本模型机,并实现相关的指令。 二、课程设计任务和基本要求 本课程设计以TDN-CM++计算机组成原理教学实验系统为平台设计完成。 1.按给定的数据格式和指令系统,设计一个微程序控制器。 2.设计给定机器指令系统以及微程序流程图,按微指令格式写出微 程序的为指令代码。

3.连接逻辑电路完成启动,测试,编程,测试,效验和运行,并观测运 行过程及结果。 4.将微程序控制器模块与运算器模块,存储器模块联机,组成一台 模型计算机。 5.用微程序控制器控制模型机的数据通路。 6.通过在模型机上运行由机器指令组成的简单程序,掌握机器指令 与微指令的关系,建立计算机整机的概念,掌握计算机的控制机制。 7.按指定的应用项目进行汇编指令格式及功能设计,并设计相应的 机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序.在 PC机上编辑机器指令和微程序,装载代码到TDN-CM++实验系统并运行,实现应用要求。 三、设计任务及分析 (1)设计任务: 从输入设备读取数据X并将其存入以A为间接地址的 内存单元,将X与R 0. 寄存器中的内容Y执行X ⊕,结果送到以B为直接地址的内存单元保存。 (2)分析: A:给R 寄存器直接置入01H. B:从数据开关给间接地址为0CH的内存单元置数,(03H). C:给R 0中的内容取反,结果存在R 中. D:将间接地址0CH中直接地址0EH中的内容(03H)放入DR1中, R 中的内容 放入DR2中,将DR1和DR2种的数进行异或运算,结果放在R 中. E:将R 中的内容存在直接地址为0DH的内存单元中. 四、设计原理 模型机在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一段微程序。 本实验采用五条机器指令: IN(输入)、ADD(二进制加法)、STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下(前4位为操作码):

计算机组成原理复杂模型机的组成与运行

内蒙古师范大学计算机与信息工程学院《计算机组成原理》课程设计报告 题目五:复杂模型机的组成与运行

目录 1[ 任务描述] (2) 1.1题目名称 (2) 1.2要求 (2) 1.3实验目的 (2) 2 [设计设备] (2) 3 [设计原理和方法] (2) 3.1设计原理 (2) 3.1.1数据格式 (2) 3.1.2指令设计 (2) 3.1.3指令格式 (3) 3.1.4指令系统 (4) 3.2设计依据 (5) 3.2.1详细设计 (5) 3.3.2实验操作 (7) 4 [代码清单] (8) 4.1微程序流图 (8) 4.2机器指令代码 (9) 4.3微程序代码 (10) 5 [设计运行结果分析] (12) 5.1实验结果: (12) 5.2出错情况: (12) 6 [设计小结] (12) 7[致谢] (13) 8[参考文献] (14) 附录一:数据通路框图 (15) 附录二:复杂模型机实验电路连线图 (16)

题目五复杂模型机的组成与程序运行 1[ 任务描述] 1.1 题目名称:复杂模型机的组成与程序运行 1.2 要求:基于TD-CMA计算机组成原理教学实验系统,设计一个复杂计算机整机系统—模型机,分析其工作原理。根据模型机的数据通路以及微程序控制器的工作原理,设计完成以下几条机器指令和相应的微程序,输入程序并运行。 IN R0,00H ;从端口00H读入计数初值到R0 LDI R2,50H ;读入数据始地址 LDI R1,00H ;累加和初值00H START: LAD 10,00H,R3 ;从MEM 读入数据送R3,变址寻址,偏移量为00H ADD R1,R3 ;累加求和 INC RI ;变址寄存加1,指向下一数据 LDI R3, 01H ;送立即数入R3 SUB R0, R3 ;R0-R3 ->R0,与上一条指令一起实现DEC R0 BZC RESULT ;为0 则跳转 JMP START ;跳转至START RESULT: OUT 40H,R1 ;和在OUT 单元显示 HLT ;停机 50H、51H、52H、53H、54H单元内容分别为02H、03H、04H、05H、06H。 1.3 实验目的:该实验通过从端口00H读入一个计数初值,以该计数初值为基准从MEM 的50H单元开始的连续的计数初值个数的累加和,最后将求得的累加和从端口40H输出显示。 2 [设计设备] 实验设备:西安唐都科教仪器公司生产的TD-CMA 实验系统一套,PC机一台,数据连接导线若干,电源。 3 [设计原理和方法] 通过已经学过的计算机组成原理知识,在TD-CMA实验系统上设计一个复杂模型机。 3.1 设计原理 3.1.1数据格式 模型机规定采用定点补码表示法表示数据,字长为8位,8 位全用来表示数据(最高位不表示符号),数值表示范围是: 0≤X≤28-1。 3.1.2指令设计 该复杂模型机设计包含运算类指令、控制类指令、数据传输类指令三大类指令。 运算类指令仅用到了算术运算,算术运算设计有3 条运算类指令,分别为:ADD(两寄存器值加法)、INC(寄存器值自加1)、SUB(两寄存器值减法)。所有运算类指令都为单

实验七基本模型机的设计与实现

实验七基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、STA(存数)、OUT (输出)、JMP(无条件转移),其指令格式如下(前三位为操作码): =============================================================== 助记符机器指令码说明 --------------------------------------------------------------- IN R0,SW 0010 0000 数据开关状态→R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC =============================================================== 其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位(IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码表”。 下面介绍指令寄存器(IR):指令寄存器用来保存当前正在执行的一条指令。当执行一条指令时,先把它从内存取到数据总线上,然后再传送至指令寄存器。指令划分为操作码和地址码字段,由二进制数构成,为了执行任何给定的指令,必须对操作码进行测试P(1),通过 节拍脉冲T4的控制以便识别所要求的操作。“指令寄存器”根据指令中的操作码译码 强置微控器单元的微地址,使下一条微指令指向相应的微程序首地址。 本系统有两种外部I/O设备,一种是二进制代码开关,它作为输入设备(INPUT DEVICE);另一种是LED块,它作为输出设备(OUTPUT DEVICE)。例如:输入时,二进制开关数据直接经过三态门送到外部数据总线上,只要开关状态不变,输入的信息也不变。输出时,将输出数据送到外部数据总线上,当LDED有效时,将数据打入输出锁存器,驱

计算机基本模型机设计与实现

计算机基本模型机设计与实现 万红明,李明威 ——湖北省孝感学院计算机科学系 摘要:本科研项目主要在传统模型机的基础上进一步设计且实现模型机的主要组成部件(运算器,存储器,控制器,基本输入输出设备)的基本功能,结合基本硬件资源,充分利用微程序,时序,组合逻辑等控制模型机完成一些基本的指令功能。 关键词:计算机组成原理模型机微指令微程序。 一、模型机的硬件组成 计算机是由运算器、存储器、控制器以及输入输出四大主要单元组成。它们之间通过一条公共的通道进行数据的传递和控制,即总线。其中运算器主要是负责数据的逻辑和算术运算,存储器的任务就是存放我们编写的机器指令(程序)和一般的数据存储,控制器是根据读取内存中的机器指令从而对相应的指令作出分析,继而对我们的计算机发不同的控制信号。输入输出单元则是将我们需要运行的程序写入内存,再由机器运行计算得出结果,予以显示输出。下图为模型机的基本框架: 图(1) 下面我们就对模型机的硬件设计思路作一些简要的介绍(设计的重点是在微程序的设计上,在后面我们将作祥细的说明。 (1)算术逻辑运算单元 我们用的运算器是将两个74LS181进行级联做成一个八位的运算器,并且带有进位功能。当有进位产生时,在高四位的74LS181上的CN+4端输出一个高电平,经D触发器锁存输出并送致LED显示。74LS181有多种组合状态,因此会有多种不同的结果。我们在此只设计实现两个数据相加的功能。它的输入端直接连着两个锁存器(74LS273),它能够将输入端的数据送进锁存器内锁存,进而将数据送进运算器进行算术或逻辑运算。运算器运算后的结果将通过一个三态门(74LS245)后才能送到总线与其它的部件交换数据,设计中三态门的作用是使各部件正常工作而互不影响。 (2)存储单元 存储器芯片选用的是6116(2K x 8),其数据端接至数据总线,地址由地址锁存器(74LS273)给出。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。

计算机组成原理-简单模型机设计课设

兰州理工大学技术工程学院 计算机组成原理课程设计任务书(09级)题目:模型机设计—1 学生姓名:学号: 班级:计算机科学与技术(2)班指导老师: 一、计算机组成原理课程设计题目简介 该设计要求学成根据计算机组成原理课程所学知识,设计、开发一套简单的模型就算计。 通过对一个简单计算机的设计,以达到对计算机的基本组成、部件的功能与设计、微程序控制器的设计,微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。通过模型机的设计和调试,连贯运用计算机组成原理课程学到的知识,建立计算机整体概念,加深计算机时间与空间概念的理解。 二、计算机组成原理课程设计任务 1、查阅文献资料,一般在5篇以上; 2、以教学实验用模型机为背景,通过调研、分析现有的模型机,建立带有带8位自增、自减指令的整机模型; 3、完成系统编程与测试工作; 4、撰写设计说明书; 5、做好答辩工作。 三、计算机组成原理课设设计的主要内容、功能及指标 1、根据任务要求设计整体系统的方案。 2、存储系统:使用模型机的存储模块,说明存储器的输入输出时序,模块连接方式等。 3、运算器:使用模型机的器件,组成带有片间串行进位8位移位运算功能的运算器。 4、微程序控制器模块:使用教学机的系统,设计微程序控制器。 5、设计模型机指令系统:(含设计微指令格式,微程序流程图,每条指令所对

应的微程序等)。指令系统包括下列指令:IN、OUT、STA、LDA、JMP、BZC、CLR、MOV、 ADD、SUB、ADC、ADT、INC、DEC、SBT、SBC 6、了解并说明教学模型机的输入输出模块。 7、在自己设计的指令系统基础上,编制一个汇编语言小程序并进行调试通过。 8、整机设计分模块进行,说明模块中数据和控制信号的来源、去向、功能、时序、以及模块间数据和控制信号的来源、去向、功能、时序等。 四、完成课程设计报告 1、设计题目、设计任务、实验设备与器材; 2、整体设计方案,设计原理与内容; 3、画出模型机数据通路图; 4、画出设计的模型机微程序流程图和微程序; 5、说明指令系统的格式; 6、说明模块中数据和控制信号的来源、去向、功能、时序、以及模块间数据和控制信号的来源、去向、功能、时序等。 7、调试情况,调试过程中遇到的主要问题,是如何解决的;对设计和编码的回顾讨论和分析;改进设想;经验和体会等; [1]计算机组成原理课程设计提交的成果 1.设计说明书一份,内容包括: 1)中文摘要100字;关键词3-5个; 2)前言; 3) 设计的目的及设计原理; 4)模型机的逻辑结构及框架; 5) 运算器的物理结构; 6)存储器系统的组成与说明; 7)指令系统的设计与指令格式分析; 8) 微程序控制器的逻辑结构及功能; 9)微程序的设计与实现(含微指令格式、后续地址产生方法以及微程序入口地址的形式)

复杂模型机的组成与程序运行课程设计_

内蒙古师范大学计算机与信息工程学院《计算机组成原理》 课程设计报告 题目: 复杂模型机的组成与程序运行(3)

1 任务描述 设计题目名称:复杂模型机的组成与程序运行(3) 要求:基于EL_JY_Ⅱ8型计算机组成原理实验系统,设计一个简单的计算机整机系统—模型机受到实验系统的限制,硬件部分基本不做改动。在充分分析和研究实验系统的组成及工作原理的基础上,确定模型机的数据通路结构,根据指令和微指令的译码情况,设计机器指令格式和微指令格式(不能超出硬件的限制),设计完成以下几条机器指令和相应的微程序,输入程序并运行跟踪观察结果。指令有四种不同的寻址方式可选择。 IN 01,R2 “D-INPUT”中的开关状态->R2 COM R2 R2->R2 RLC R2,R0 CY ->R2, R2->R0 MOV R2,R0 R2->R0 AND 00,0DH,R0 R0 * [0DH] -> R0 ;直接寻址方式 STA 10,0EH,R2 R2 ->[0EH+R1] ;变址寻址方式 JMP 00,01H 01H -> PC ;直接寻址方式 HALT 0DH、0EH单元内容分别为02H、03H。 2 设计设备 EY-JY-II8型计算机组成原理实验系统一套,排线若干。 3 设计原理和方法 3.1 设计原理 3.1.1 数据格式: 本实验计算机采用定点补码表示法表示数据,字长为8位,其格式如下: 其中第7位为符号位,数值表示范围是:-1≤X<1 3.1.2 指令格式: 本实验中的三条算术逻辑指令COM、RLC、MOV用单字节表示,寻址方式采用寄存器直接寻址其格式如下: 其中OP-CODE为操作码,rs为源寄存器,rd为目的寄存器,并规定: 本实验中的两条访问指令,即存数STA、与运算AND,一条转移指令,即无条件转移JMP,指令格式如下: 其中OP-CODE为操作码,rd为目的寄存器地址(LDA、STA指令使用),D为位移量(正负均可),M为寻址模式,其定义如下:

基本模型机设计与实现 实验报告

____学院____专业_____班______组、学号______ 姓名_____协作者_____ 教师评定_____________ 实验题目_基本模型机设计与实现______________________ 1.实验目的与要求: 实验目的: 1.在掌握部件单元电路实验的基础上,将微程序控制器模块与运算器模块、存储器模块组合成一起,组成一台基本模型计算机。 2.用微程序控制器来控制模型机的数据通道。 3.通过CPU运行五条机器指令组成的简单程序,掌握机器指令与微指令的关系,建立利用指令控制整机(输入、输出、运算、存储系统)的概念。 实验要求: 记录程序运行过程的数据和结果,按记录填写答题框,完成练习操作题,观察程序的执行过程并记录运行结果。 2.实验方案: 1.实验连线。 2.试验程序。 3.修改和编写试验仪RAM和ROM数据的方法。 4.结果测试。 3.实验结果和数据处理: 练习操作题记录表:(注意:题目不用写入实验报告)

4.结论 1.每条指令执行要分三步,第一步是取指令,由01、02微指令实现;第二步是判别指令,判别取的是什么指令,转到相应的分支去,由P (1)信号参与控制;第三步是具体执行指令,具体执行相应分支的每一条微指令。 2.每次运行前,都要拨动CLR开关清零(1→0→1)。清零后,微地址显示灯应为000000。 3.窗口显示的EX1的源文件,包括机器指令程序和微指令程序的微代码,$P开头是机器指令程序,$M开头是微指令程序的微代码. 5.问题与讨论及实验总结 如何修改试验仪的RAM和ROM的数据? 答:先选中要修改的该层,把该地址单元的内容全部输入,等光标自动移到下一个地址单元时才完成对该地址单元内容的修改。接着使用该菜单栏中的“调试”菜单下的“刷新数据”或F5热键来对“程序RAM”“微指令ROM”进行刷新,把电脑上的数据传到实验仪中。 6.思考题:

基本模型机设计与实现.

课程设计 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 学院:信息工程与自动化 专业:计算机科学与技术 年级: 学生姓名: 指导教师:王海瑞 日期: 教务处制

课程设计任务书 信息工程与自动化学院计算机专业年级 学生姓名: 课程设计题目:基本模型机设计与实现 课程设计主要内容: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微 程序。将所设计的微程序在计算机组成原理教学实验系统环境中进行测试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计报告。 首先要确定所设计计算机的功能和用途,设计中根据功能和用途确定指令系统, 数据的表示格式,位数,指令的编码,类型,需要设计那些指令和寻址方式。确定相 对应指令所包含的微操作以及总体结构设计之间的数据通路结构,在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微指令。 设计指导教师(签字): 教学基层组织负责人(签字): 年月日

目录 一、基本模型机的设计,,,,,,,,,,,,,,,, 4 1、程序设计目的,,,,,,,,,,,,,,,, 4 2、程序设计任务和基本要求,,,,,,,,,,,, 4 3、实验原理,,,,,,,,,,,,,,,,,,,,,,, 5 二、实验内容及步骤,,,,,,,,,,,,,,,8 1.实验内容,,,,,,,,,,,,,,,,,,,8 2.实验步骤,,,,,,,,,,,,,,,,,10 3.实验情况及记录,,,,,,,,,,,,,,,14 三、总结体会,,,,,,,,,,,,,,,,,,15 四、参考文献,,,,,,,,,,,,,,,,,,16 一、基本模型机的设计 1、程序设计目的 (1)掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握信息流和控制信息流的流动过程,

计算机组成原理课程设计_复杂模型机

计算机与信息学院 计算机组成原理 课程设计报告 专业班级 学生姓名及学号 合作者 实验指导教师 实验地点 2011~2012 学年第1 学期

一、课程设计目的: 本课程设计是《计算机组成原理》课程之后开设的实践环节课程。通过本课程设计,使学生进一步加深对计算机原理系列课程相关内容的理解,掌握CPU设计的基本方法和计算机系统的组成原理,进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;锻炼计算机硬件的设计能力、调试能力;培养严谨的科学实验作风、良好的工程素质及团队协作精神,为今后的工作打下基础。 基于计算机组成原理教学实验系统设计并实现一个具有16条机器指令、采用微程序控制器的8位计算机。 二、课程设计要求: 根据设计课题要求,给出模型机的设计方案(包括指令系统和硬件结构)。画出所设计计算机的硬件连接图,针对所设计的指令系统编写出相应的微程序。对所设计的计算机进行安装与调试。编写测试程序,对系统进行验证。编写课程设计报告。 (1)BZC—>NBZC (2)INC Rd—>INC Rs,Rd (3)RRC Rd—>RR Rs,Rd (4)RLC Rd—>RLC Rs,Rd 指令格式和指令系统 数据格式 模型机规定采用定点补码表示数据,且字长为8位,其格式如下: 其中第7 指令格式 模型机设计四大类指令共16条,其中包括算术逻辑指令、I/O指令、访问及转移指令和停机指令。 ⑴算术逻辑指令 设计9条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下: 其中,OP-CODE为操作码,Rs为源寄存器,Rd为目的寄存器,并规定:

9条算术逻辑指令的名称、功能和具体格式见表7-12-1。 ⑵ 访问指令及转移指令 模型机设计2条访问指令,即存数(STA )、取数(LDA ),2条转移指令,即无条件转移(JMP )、结果为零或有进 位转移指令(BZC ) 其中“0 0 M ”为源码段,2OP-CODE 为目的码段(LDA 、STA 指令使用)。D 为十六位地址段(低八在前,高八随后),M 为源寻址模式,其定义如下: ⑶ I/O 指令 输入(IN )和输出(OUT ⑷ 停机指令 指令格式如下: HALT 指令,用于实现停机操作。 指令系统 本模型机共有16条基本指令,其中算术逻辑指令7条,访问内存指令和程序控制指令4条,

基本模型机的设计与实现

南京晓庄学院 信息工程学院 计算机组成原理课程 实 验 报 告 实验名称:基本模型机的设计与实现 年级专业班级:14 级计算机专业专本1班班级 学号:14131521 姓名:殷宇翔 学号:姓名: 学号:姓名: 时间:2016 年12 月10 日

一、实验目的、要求: 1、在掌握部件单元电路实验的基础上,进一步将其组成系统以构造一台基本模型实验计算机。 2、设计五条机器指令,并编写相应的微程序,具体上机调试,掌握整机软硬件组成概念。 二、实验仪器设备、器件及环境: 三、实验方法、原理: 部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本次实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,实验计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 ⑴有关微控制器部分在前一实验中已详细介绍 ⑵主存储器的读、写和运行 为了向主存储器RAM中装入程序或数据,并且检查写入是否正确以及能运行主存储器中的程序,必须设计三个控制操作微程序。 ·存储器读操作:拨动总清开关后,置控制开关SWC、SWA为“0 0”时,按要求连线后,连续按“启动运行”开关,可对主存储器RAM连续手动读操作。 ·存储器写操作:拨动总清开关后,置控制开关SWC、SWA为“0 1”时,按要求连线后,再按“启动运行”开关,可对主存储器RAM进行连续手动写入。 ·运行程序:拨动总清开关后,置控制开关SWC、SWA为“1 1”时,按要求连线后,再按“启动运行”开关,即可转入到第01号“取址”微指令,启动程序

复杂模型机的设计与实现

计算机组成原理课程设计实验报告

复杂模型机的设计与实现 一、课程设计目的 综合运用所学计算机原理实验知识,设计并实现较为完整的计算机。 二、设计要求 1、确定设计目标 参考实验指导书上复杂模型机设计的过程,运用其微指令格式,独立设计指令系统。并用该指令系统中的指令编一完成简单运算的程序(有数据输入和输出的)。并进行调试运行。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。 3、总体结构与数据通路 总体结构设计包含确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传送路径,以及实现这些传送所需要的微命令。 对于部件设置,比如要确定运算器部件采用什么结构,控制器采用微程序控制。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令的执行流程。 根据指令的复杂程度,每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一个微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每条微程序地址及分支转移地址。 6、根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码,写入到控制存储器中的相应单元中。 7、组装、调试 在总调试前,先按功能模块进行组装和分调,因为只有各功能模块工作正常后,才

能保证整机的运行正确。 当所有功能模块都调试正常后,进入总调试。连接所有模块,用单步微指令方式执行机器指令的微程序流程图,当全部微程序流程图检查完后,若运行结果正确,则在内存中装入一段机器指令,进行其他的运行方式等功能调试及执行指令的正确性验证。 三、数据格式以及指令格式 1、数据格式 模型机规定采用定点补码表示法表示数据,且字长为8位,其格式如下: 2、指令格式 模型机设计四大类指令共十六条,其中包括算术逻辑指令、I/O指令、访问存储器及转移指令和停机指令。 (1) 算术逻辑指令 设计9条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下: 其中,OP-CODE为操作码,Rs为源寄存器,Rd为目的寄存器,并规定: (2) 访存指令及转移指令 模型机设计2条访问指令,即存数(STA)、取数(LDA)、2条转移指令,即无条件转移(JMP)、结果为零或有进位转移指令(BZC)。其格式如下: 其中,OP-CODE为操作码, Rd为目的寄存器,D为位移量(正负均可),M为寻址方式,其定义如下:

计算机组成原理课程设计:基本模型机设计与实现

目录 一、课程设计题目 (2) 二、课程设计任务和要求 (2) 1、设计任务 (2) 2、功能指标和设计要求 (2) 2.1、基本模型机设计与实现 (2) 2.2、字长要求 (2) 三、实验设备 (3) 四、设计步骤 (3) 1、确定设计目标 (3) 2、总体结构与数据通路与硬件实现 (3) 3、确定指令系统 (3) 3.1、数据的表示格式 (3) 3.2、指令的编码 (3) 3.3、周期设计 (4) 3.4、微指令设计 (5) 3.5、微程序流程图 (5) 3.6、测试 (6) 五、课程设计总结 (6) 1、遇到的问题及解决方法 (6) 2、体会 (6) 六、附录 (6) 附录1:数据通路图 (7) 附录2:微程序流程图 (8) 附录3:二进制微代码表 (9) 附录4:实验程序及微程序 (11) 附录5:实验接线图 (12) 附录6:参考文献(资料) (12)

一、课程设计题目 模型机设计与实现 二、课程设计任务和要求 1、设计任务 基本模型机设计与实现。 2、功能指标和设计要求 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验箱、微机,联机软件等。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 2.1、基本模型机设计与实现 设计一台基本模型机,要求模型机指令系统至少要包括五条不同类型指令:如一条输入指令(假设助记符为IN),一条加法指令(假设助记符为ADD),一条存数指令(假设助记符为STA),一条输出指令(假设助记符为OUT)和一条无条件转移指令(假设助记符为JMP);利用设计的模型机,通过设计一个进行两个数求和运算的测试验证程序,验证模型机的功能。 2.2、字长要求 基本模型机的CPU数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

简单模型机的微程序设计

课程设计报告 课程设计名称:简单模型机的微程序设计 系:三系 学生姓名: 班级:软件二班 学号: 成绩: 指导教师: 开课时间: 2012学年 2 学期 一、设计题目 计算机组成原理课程设计——简单模型机的微程序设计

二、主要内容 1.通过使用作者开发的微程序分析和设计仿真软件,熟悉本文介绍的为基本模型机而设计的微程序的执行过程。必须充分理解并正确解释下列问题: ⑴微程序中的微指令的各个字段的作用。哪些字段是不译码的,哪些字段是直接译码的,哪些字段又可以看成是字段间接编码的。 ⑵微程序中的微指令是否是顺序执行的,如果不是,那么次地址是如何产生的。什么情况下,次地址字段才是将要执行的微指令的地址。 ⑶在微程序中如何根据机器指令中的相关位实现分支,据此,在设计机器指令时应如何避免和解释其它指令的微指令的微地址冲突。 ⑷哪些微指令是执行所有指令都要用到的。 ⑸解释一条机器指令的微程序的各条微指令的微地址是否连续这些微指令的微地址的安排的严重原则是什么 ⑹为什么读写一次内存总要用两条微指令完成 ⑺机器程序中的用到的寄存器是R0,是由机器指令中哪些位决定的如果要用R1或R2,是否要改写微程序或改写机器指令如果要,应如何改写 2.在原有5条机器指令的基础上增加实现下述各功能的机器指令,试设计相应的机器指令的格式并改写原来的微程序使其可以运行所有的机器指令。新增加的机器指令的功能是: ①或指令OR RD,RS:(RS)或(RD)→(RD) ②减法指令SUB RD,(addr):(RD)减(addr)→(RD) ③异或指令XOR (addr1),(addr2):(addr1)异或(addr2)→(RD) ④与指令AND RD,RS:(RS)与(RD)→(RD) ⑤求反指令 NOT RD:/(RD) →(RD) 其中的RS、RD可以是R0、R1、R2中的任何一个。

计算机组成原理课程设计报告(复杂模型机)

计算机组成原理课程 1/设计报告 复杂模型机的设计与调i式

复杂模型机的设计与实现 一、课程设计目的 本课程设计是《计算机组成原理》课程结束以后开设的大型实践性教学环节。通过本课程设计,加深对计算机系统各模块的工作原理及相互联系的认识,特别是对微程序控制器的理解,进一步巩固所学的理论知识,并提高运用所学知识分析和解决实际问题的能力;锻炼计算机硬件的设计能力、调试能力;培养严谨的科学实验作风和良好的工程素质,为今后的工作打下基础。 二、实验设备 ZY15CompSys12BB计算机组成原理教学实验系统一台,排线若干。 三、设计与调试任务 1 ?按给定的指令格式和指令系统功能要求,用所提供的器件设计一台微程序控制器控制的模型计算机。 2 ?根据设计图,在通用实验台上进行组装,并调试成功。 四、指令格式 模型机设计四大类指令共十六条,其中包括算术逻辑指令、I/O指令、访问存储器及 转移指令和停机指令。 (A)算术逻辑指令设计9条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格 式如下: 其中, (B)访存指令及转移指令 模型机设计2条访问指令,即存数(STA)、取数(LDA)、2条转移指令,即无条件转移 (JMP) 其中,OP-CODE为操作码,Rd为目的寄存器,D为位移量(正负均可),M为寻址方式,其定义如下:

本模型机规定变址寄存器R I指定为寄存器R2 (C) I/O指令 输入和输出指令采用单字节指令,其格式如下: 其中,addr=01 示选中“输出单元”中的数码管作为输出设备。 (D)停机指令 这类指令只有1 五、总体设计 (1 )指令系统 本模型机共有16条基本指令。其中,算术逻辑指令9条,访问内存指令和程序控制指令4条,输入输出指令2条,其他它指令1条。表1列出了各条指令的格式、汇编符号、指令功能。 表1 复杂模型机指令系统

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师: 设计时间: 昆明学院 信息技术学院

课程设计(大作业)任务书

目录

课程设计(大作业)报告 一、课程设计的教学目的 1. 在“微程序控制器的组成与微程序设计实验”的基础上,进一步将其中各单元组成系统构造一台模型计算机。 2. 本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。 课程设计内容设计一台基本模型机,并实现相关的指令。 二、课程设计任务和基本要求 本课程设计以TDN-CM++计算机组成原理教学实验系统为平台设计完成。 1.按给定的数据格式和指令系统,设计一个微程序控制器。 2.设计给定机器指令系统以及微程序流程图,按微指令格式写出微 程序的为指令代码。 3.连接逻辑电路完成启动,测试,编程,测试,效验和运行,并观测运 行过程及结果。 4.将微程序控制器模块与运算器模块,存储器模块联机,组成一台 模型计算机。 5.用微程序控制器控制模型机的数据通路。 6.通过在模型机上运行由机器指令组成的简单程序,掌握机器指令 与微指令的关系,建立计算机整机的概念,掌握计算机的控制机制。 7.按指定的应用项目进行汇编指令格式及功能设计,并设计相应的 机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序.在PC机上编辑机器指令和微程序,装载代码到TDN-CM++实验系统并运行,实现应用要求。

三、设计任务及分析 (1)设计任务: 从输入设备读取数据X并将其存入以A为间接地址的 内存单元,将X与R 0. 寄存器中的内容Y执行X ⊕,结果送到以B为直接地址的内存单元保存。 (2)分析: A:给R 寄存器直接置入01H. B:从数据开关给间接地址为0CH的内存单元置数,(03H). C:给R 0中的内容取反,结果存在R 中. D:将间接地址0CH中直接地址0EH中的内容(03H)放入DR1中, R 中的内容 放入DR2中,将DR1和DR2种的数进行异或运算,结果放在R 中. E:将R 中的内容存在直接地址为0DH的内存单元中. 四、设计原理 模型机在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一段微程序。 本实验采用五条机器指令: IN(输入)、ADD(二进制加法)、STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下(前4位为操作码): 输出,[addr]BUS 无条件转移,addr PC 其中IN 为单字长(8位)指令,其余为双字长指令,××××××××为

相关主题