搜档网
当前位置:搜档网 › 数字逻辑课后习题答案

数字逻辑课后习题答案

数字逻辑课后习题答案
数字逻辑课后习题答案

习题五

5.1 分析图5.35所示的脉冲异步时序电路。

解:各触发器的激励方程和时钟方程为:

1K J 11==;1K ,Q J 232==;1K ,Q Q J 3323==

CP CP

1=;132Q CP CP ==

∴各触发器的状态方程为:

11n 1Q Q =+ (CP 的下降沿触发);

321n 2

Q Q Q =+ (Q 1的下降沿触发); 3

21

n 3Q Q Q =+ (Q 1的下降沿触发)

该电路是一能自启动的六进制计数器。

5.2 已知某脉冲异步时序电路的状态表如表5.29所示,试用D 触发器

和适当的逻辑门实现该状态表描述的逻辑功能。

解:表5.29所示为最小化状态表。根据状态分配原则,无“列”相邻

(行相邻在脉冲异步时序电路中不适用。),在“输出” 相邻中,应

给AD 、AC 分配相邻代码。取A 为逻辑0,如下卡诺图所示,状态赋

值为:A=00,B=11;C=01;D=10。于是,二进制状态表如下,根据

D 触发器的激励表可画出CP 2、D 2、CP 1、D 1、Z 的卡诺图,得到激励函数和输出函数,以及画出所设计的脉冲异步时序电路。

得激励方程和输出方程:

22x CP =;

32212x x Q x D ++=;

3221x x Q CP +=;

31211x Q x Q D +=;

)Q Q (x Q x Q x Z 2

132313+=+=。

5.3 设计一个脉冲异步时序电路,该电路有三个输入端x 1、x 2和x 3,一个输出端Z 。仅当输入序列x 1-x 2-x 3出现时,输出Z 产输出脉冲,并且与输入序列的最后一个脉冲重叠。试作出该电路的原始状态图和状态表。

解:

5.4 分析图5.36所示的电平异步时序电路。

解:(一)写出激励函数和输出函数表达式:

1

112122y x y y x x Y ++=; 1221121y x y x x x Y ++=;

12y x Z =

(二)作状态流程表。

(三) 作时间图。

设输入状态12x x 的变化序列为00→01→11→10→00→10→11→01,初始总态为(12x x ,

12y y )=(00,00)

。从本题的状态流程表推演出总响应序列为

(三)电路功能:当输入状态12x x 的变化序列为01→11→10→00时,电路输出高电平1,

其余情况输出低电平0。因此,该电平异步时序电路为01→11→10→00序列检测器。

5.5 某电平异步时序电路有输入x 1和x 2及输出Z 。当输入x 1为0,输入x 2从0跳变到1时,输出Z 为1;当输入x 1为1,输入x 2从1跳变到0时,输出Z 也为1;当输入x 1和x 2相同时,输出Z 则为0;当为其他情况时,输出Z 保持不变。试建立该电路的原始流程表。

5.6 将表5.30所示原始流程表简化为最简流程表。

解:从隐含表得相容状态对有:(1,3)、(2,4)、(2,5)、(4,5)、(5,6)。

作合并图得最大相容类为(1,3)、(2,4,5)、(5,6)。

用a代表(1,3),b代表(2,4),c代表(5,6)得最小化流程表:

5.7判断图5.37电平异步时序电路是否存在竞争。

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

数字逻辑课后题讲解

第二章 组合逻辑 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: 这是一个余三码 至8421 BCD 码转换的电路 已知输入信号A,B,C,D 的波形如下图所示,选择适当的集成逻辑门电路,设计产生输出 F 波形的组合电路(输入无反变量) 解: 列出真值表如下: W= AB+ACD X = BC+BD+BCD Y = CD+CD Z = D )(D C A C B A D C B D B B A F 或+++=

9. 用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示有两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,并选出合适的集成电路来实现。 解: 设:三台设备分别为 A 、B 、C : “1”表示有故障,“0”表示无故障;红、黄、绿灯分别为Y1、Y2、Y3:“1”表示灯亮;“0”表示灯灭。据题意列出真值表如下: 于是得: 13.用一片4:16线译码器将8421BCD 码转换成余三码,写出表达式 解: C B A C B A Y C B A BC Y C B A Y ++==⊕+=⊕⊕=3) (21 )8,6,4,2,0(),,,()8,7,4,3,0(),,,()9,4,3,2,1(),,,()9,8,7,6,5(),,,(∑=∑=∑=∑=D C B A Z D C B A Y D C B A X D C B A W

设计二进制码/格雷码转换器 解:真值表 B 12A 2B 4:16线译码器 Y 6 Y 8 Y 2Y 4Y 3 Y 7Y 2Y 6

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

数字逻辑(第六版 白中英)课后习题

第四章习题答案1.设计4个寄存器堆。 解: 寄存器组 2. 设计具有4个寄存器的队列。 解: 输入数据输出数据 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。

栈顶 SR 1 SR 2 SR 3 输入数据 输出数据 压入弹出 4.SRAM 、DRAM 的区别 解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM 拥有更高的密度,常常用于PC 中的主存储器。 SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。SRAM 常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM 采用行选通和列选通 解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM 实现二进制码到余3码转换 解: 真值表如下: 8421码 余三码 B 3B 2 B 1 G 3G 2G

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

数字逻辑习题参考解答

第6章习题参考解答 6-3 画出74x27三输入或非门的德摩根等效符号。 解:图形如下 6-10 在图X6.9电路中采用74AHCT00替换74LS00,利用表6-2的信息,确定从输入端到输出端的最大时间延迟。 解:该图中从输入到输出需要经过6个NAND2; 每个NAND2(74AHCT00)的最大时间延迟为9 ns; 所以从输入端到输出端的最大时间延迟为:54 ns。 6-31 BUT门的可能定义是:“如果A1和B1为1,但A2或B2为0,则Y1为1;Y2的定义是对称的。”写出真值表并找出BUT门输出的最小“积之和”表达式。画出用反相门电路实现该表达式的逻辑图,假设只有未取反的输入可用。你可以从74x00、04、10、20、30组件中选用门电路。 解:真值表如下 A1 B1 A2 B2 Y1 Y2 A1 B1 A2 B2 Y1 Y2 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 1 0 0 0 1 1 1 0 1 0

0 1 1 1 0 1 1 1 1 1 0 0 利用卡诺图进行化简,可以得到最小积之和表达式为 Y1=A1·B1·A2’+A1·B1·B2’ Y2=A1’·A2·B2+B1’·A2·B2Y 2 采用74x04得到各反相器 采用74x10得到3输入与非 采用74x00得到2输入与非 实现的逻辑图如下: 6-32 做出练习题6-31定义的BUT 门的CMOS 门级设计,可以采用各种反相门逻辑的组合(不一定是二级“积之和”),要求使用的晶体管数目最少,写出输出表达式并画出逻辑图。 解:CMOS 反相门的晶体管用量为基本单元输入端数量的2倍; 对6-31的函数式进行变换: ()()()()'2211'2'211'211'2111B A B A B A B A B B A A B A Y ???=+??=??+??= ()()()()'1122'1'122'122'1222B A B A B A B A B B A A B A Y ???=+??=??+??= 利用圈-圈逻辑设计,可以得到下列结构: ()()()'''22'111B A B A Y ?+?= ()()()'''11'222B A B A Y ?+?=

2013数字逻辑期末试卷试题及答案

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分)

广工EDA数字逻辑课后习题问题详解

习题答案 第1章 一、单选题 (1)B (2)C (3)B (4)C (5)D (6)B (7)C (8)D (9)C (10)C (11)D (12)D (13)A (14)D 二、判断题 (1)√ (2)√ (3)× (4)× (5)× (6)× (7)√ (8)× 三、填空题 (1)10000111.101、207.5、87.A (2)185.75 (3)1001 0100 (4)B A ?、B A +、B A B A +、AB B A + (5)C B A ABC C AB ++ (6)C A AD ? (7)B A B A + (8)2n (9)1 (10)1 四、综合题 (1) ① B A B A AD B B A AD DE B B A AD C A A C DE C B B D C A A C B DE C B B BD C A A Y +=++=++=++++=+++++=+++++=)1()()()()(

② B A B A B A D D B A B A A D B D B A B A B B A D B A D B A B A B A AB Y +=+++=++++=+++++=+++++=)1)(())(())()(())(( ③ D B C B A D C D B C B DE B B A C A D B D C C B DE B C B C A D BC A D B D C C B DE B A C B A AC DE B A D BC A C B A D C D B C B AC Y ++=+++++=+++++++=+++++++=+++++++=)1()1()()()( (2) ① BCD C B D B A B A D C B A Y ++++= 函数卡诺图如下: 化简结果为:BD D A D C Y ++= ② F(A,B,C,D)=Σm(0,2,4,5,6,7,8,10,12,14) 函数卡诺图如下: 化简结果为:D B A D C B A F +=),,,( ③ F(A,B,C,D)=Σm(1,2,6,7,10,11)+Σd(3,4,5,13,15)

2020秋西安电子科技大学《数字逻辑电路》大作业期末试题及答案

学习中心/函授站_ 姓名学号 西安电子科技大学网络与继续教育学院 2020 学年下学期 《数字逻辑电路》期末考试试题 (综合大作业) 题号一二三四总分 题分30 10 30 30 得分 考试说明: 1、大作业试题于2020 年10 月15 日公布: (1)毕业班学生于2020 年10 月15 日至2020 年11 月1 日在线上传大作业答卷; (2)非毕业班学生于2020 年10 月22 日至2020 年11 月8 日在线上传大作业答卷; (3)上传时一张图片对应一张A4 纸答题纸,要求拍照清晰、上传完整; 2、考试必须独立完成,如发现抄袭、雷同均按零分计; 3、答案须用《西安电子科技大学网络与继续教育学院标准答题纸》手写 完成,要求字迹工整、卷面干净。 一、单项选择题(每小题2 分,共40 分) 1、下列各数中与十进制数101 不相等的数是( D )。 A.(0100 0011 0100)余3BCD B.(141)8C.(1100101)2D.(66)16 2、八进制数(35)8的8421BCD 是( B )。 A.0011 1000B.0010 1001C.0011 0101D.0010 1100 3、为使与非门输出为1 则输入( A )。 A.只要有0 即可B.必须全为0C.必须全为1D.只要有1 即可 4、函数F AC BC AB与其相等的表达式是( B )。 A.BC B.C+AB C.AC AB D.AB

5、使函数F AB AC BC 等于 0 的输入 ABC 的组合是( C )。 A .ABC=111 B .ABC=110 C .ABC=100 D .ABC=011 6、四变量的最小项ABCD 的逻辑相邻项是( A )。 A .ABCD B .ABCD C .ABC D D .ABCD 7、函数F ABC B .C (A D )BC 的对偶式是( C )。 A . G (A B C )(B C )(AD B C ) B .G A B C (B C )AD B C C .G A B C (B C )(A D B C ) D .G A B C (B C )A D B C 8、F A B C ADE BDE ABC 的最简式为( A )。 A .1 B .ABC DE C .ABC D .A+BDE+CDE 9、 函数F AC BC AB 的最简与非式为( D )。 10、 函数F A B C A .B .D AC ACD AB .D 的最简与或式为 ( D )。 A .F BC AD B .F B C AD C .F AB AC BD D .F AB AC BD 11、 函数F (ABCD ) (0,1,3,4,5,7,12),约束条件为BCD ABD 0 ,其 最简与或非式是( C )。 A .F A .C AD BD B .F CD AB AD A . B . C . D . B A B A C B C B B A . C A B A . . A B C A

数字逻辑题目及答案

1.表示任意两位无符号十进制数至少需要()二进制数。 A.6 B.7 C.8 D.9 2.余3码对应的2421码为()。 A. B. C. D. 3.下列四个数中与十进制数(72)10相等的是( ) A.()2 B.()2 C.()2 D.()2 4.某集成电路芯片,查手册知其最大输出低电平UOLmax=0.5V,最大输入低电平UILmax=0.8V,最小输出高电平UOHmin=2.7V,最小输入高电平UIHmin=2.0V,则其高电平噪声容限UNH=( ) A.0.3V B.0.6V C.0.7V D.1.2V 5.标准或-与式是由()构成的逻辑表达式。 A.与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 6、对于TTL或非门多余输入端的处理,不可以()。 A、接电源 B、通过0.5kΩ电阻接地 C、接地 D、与有用输入端并联 7.下列四种类型的逻辑门中,可以用()实现三种基本逻辑运算。 A. 与门 B. 或门 C. 非门 D. 与非门 8.以下电路中可以实现线与功能的有()。 A.与非门 B.三态输出门 C.传输门 D.漏极开路门 9.要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为()。

A.JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶校验器,需要()个异或门。A.2 B. 3 C. 4 D. 5 11.相邻两组编码只有一位不同的编码是( ) A.2421BCD码 B.8421BCD码 C.余3码 D.循环码 12.下列电路中,不属于时序逻辑电路的是( ) A.计数器 B.全加器 C.寄存器 D.RAM 13.一个4位移位寄存器,现态为0111,经右移1位后其次态为( ) A.0011或1011 B.1101或1110 C.1011或1110 D.0011或1111 14.为了将正弦信号转换成与之频率相同的脉冲信号,可采用( ) A.多谐振荡器 B.移位寄存器 C.单稳态触发器 D.施密特触发器 15.一个6位地址码、8位输出的ROM,其存储矩阵的容量为( )bit. A.64×8 B.48 C.256 D.8 16.某8位DAC,当输入全为1时,输出电压为5.10V,当输入D=()2时,输出电压为( ) A.5.10V B.2.56V C.1.28V D.都不是 17.PROM是一种__________可编程逻辑器件。( ) A.与阵列可编程、或阵列固定的

数字逻辑课后习题答案

习题五 5.1 分析图5.35所示的脉冲异步时序电路。 解:各触发器的激励方程和时钟方程为: 1K J 11==;1K ,Q J 232==;1K ,Q Q J 3323== CP CP 1=;132Q CP CP == ∴各触发器的状态方程为: 11n 1Q Q =+ (CP 的下降沿触发); 321n 2 Q Q Q =+ (Q 1的下降沿触发); 3 21 n 3Q Q Q =+ (Q 1的下降沿触发) 该电路是一能自启动的六进制计数器。 5.2 已知某脉冲异步时序电路的状态表如表5.29所示,试用D 触发器 和适当的逻辑门实现该状态表描述的逻辑功能。 解:表5.29所示为最小化状态表。根据状态分配原则,无“列”相邻 (行相邻在脉冲异步时序电路中不适用。),在“输出” 相邻中,应 给AD 、AC 分配相邻代码。取A 为逻辑0,如下卡诺图所示,状态赋 值为:A=00,B=11;C=01;D=10。于是,二进制状态表如下,根据 D 触发器的激励表可画出CP 2、D 2、CP 1、D 1、Z 的卡诺图,得到激励函数和输出函数,以及画出所设计的脉冲异步时序电路。

得激励方程和输出方程: 22x CP =; 32212x x Q x D ++=; 3221x x Q CP +=; 31211x Q x Q D +=; )Q Q (x Q x Q x Z 2 132313+=+=。 5.3 设计一个脉冲异步时序电路,该电路有三个输入端x 1、x 2和x 3,一个输出端Z 。仅当输入序列x 1-x 2-x 3出现时,输出Z 产输出脉冲,并且与输入序列的最后一个脉冲重叠。试作出该电路的原始状态图和状态表。 解:

《数字逻辑与电路》复习题及答案

《数字逻辑与电路》复习题 第一章数字逻辑基础(数制与编码) 一、选择题 1.以下代码中为无权码的为CD。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为AB 。 A.8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是CD 。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为ABCD 。 A. (0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.与八进制数(47.3)8等值的数为:A B。 A.(100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 8.常用的BC D码有C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。(√) 2. 8421码1001比0001大。(×) 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√) 4.格雷码具有任何相邻码只有一位码元不同的特性。(√) 5.八进制数(17)8比十进制数(17)10小。(√) 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。(√) 7.十进制数(9)10比十六进制数(9)16小。(×) 8.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。(√) 三、填空题

数字逻辑设计试题中文答案

2003数字逻辑考题 一填空题(每空1分,共15分) 1 [19]=[ 11010 ] (假设字长为5bit)Gray10 2 若X=+1010,则[X]=(00001010),[-X]=(11110110 ),(假设字长为8bit)补原 3 [26.125]=[ 1A.2 ]=[ 00100110.000100100101 ]8421BCD 10164 65进制的同步计数器至少有( 7)个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3)个触发器。 Q*?Q'工作,则JK触发器的激励方程应写为(1,1 );如果用6 要使JK触发器 按D触发器实'。Q )现这一转换关系,则D触发器的激励方程应写为( 。)在最简状态分配中,若状态数为n,则所需的最小状态变量数应为([logn]7 2个变量相异或n的个数为奇数个,则这1.W,若这n个变量中含有n 个逻辑变量A,B,C…8 )。1的结果应为( )输入的组合逻辑函数。8 4 )个(9一个256x4bit的ROM 最多能实现(18)个。条地址输入线,其内部存储单元有(210一个EPROM 有18。)(正逻辑)( A NAND B (AB)',其实现的逻辑函数为11所 示CMOS电路如图F=Fig.1+E D TT (每问二判断题2分,共10分)43F 个。2n的扭环计数器所需的触发器为n)计数模为(T 1 A T)若逻辑方程AB=ACB=C成立。成立,则2 ( F 2)一个逻辑函数的全部最小项之积恒等于1。 3 ( F B T 1与非门的未用输入端应连在高电平上。T )CMOS( 4 )Mealy型时序电路的输出只与当前的外部输入有关。( 5 F Fig.1 三(16分)1化简下列函数(共3分)6分,每题?????15,11,13,7,8,910,,FA,BC,D?,m0,23,)1 ????????145313121086DCBFA,,,?m1,,,,,?d0,,,)2

湖大数字逻辑期末试卷(A卷)试题及答案

数字逻辑(A) 2010 — 2011 学年第一学期 课程名称:___数字逻辑________ 学生姓名:___________________ 学号:___________________ 专业:____软件工程_______ 年级/班级:__________________ 课程性质:公共必修、公共选修、专业必修、专业选修 一二三四五六七八总分阅卷人签名 一、填空题(20分,每空2分) 1. (2010)D =()B = ()H = ()8421BCD 答案:(111 1101 1010)B= (7DA)H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A、B两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为。 答案:与逻辑 3. 逻辑函数式F=AB+AC的对偶式为,最小项表达式为 ∑ F( )。 =m 答案:) F D+ + A =∑ (C B )( A F(5,6,7) =m 2.逻辑函数D ' '+ ' + ' + =的最简与或式 ' + + CD C A AC AB D ABC F' ABD C 是。 答案:'D A+ 4. 从结构上看,时序逻辑电路的基本单元是。 答案:触发器 5. JK触发器特征方程为。 答案:Q '+ K JQ' 6.A/D转换的一般步骤为:取样,保持,,编码。 答案:量化 二、选择题(20分,每题2分)

1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 +U CC +U CC -U BB R R K R C R B A B C F

数字逻辑电路 吉林大学考试题库答案

数字逻辑电路 一、单选题 1.一块数据选择器有三个地址输入端,则它的数据输入端应有()C. 8 2. 已知,左式和右式的两个逻辑图分别是X和Y,产生竞争—冒险的是 A. X 3.同步计数器和异步计数器比较,同步计数器的显著优点是 A. 工作速度高 4. 下列说法正确的是() C. (3)是下降沿触发的主从触发器 5.组合逻辑电路通常由___ 组合而成 A. 门电路 6.一个T触发器,在T=1时,来一个时钟脉冲后,则触发器()D. 翻转 7.十进制数25用8421BCD码表示为 B. 0010 0101 8.十进制数555的余3码为 C. 100010001000 9.五个D触发器构成环形计数器其计数长度为 A. 5 10.四位比较器(74LS85)的三个输出信号A〉B,A=B,A<B中,只有一个是有效信号时,它呈现 B. 低电平 11.欲使D触发器按Qn + 1=Qn工作应使输入D= D. Q 12.和二进制码1100对应的格雷码是()C. 1010 13.在下列逻辑电路中不是组合逻辑电路的有 D. 寄存器 14.欲对全班53个同学以二进制代码编码表示,最少需要二进制的位数是()B.

6 15.十六路数据选择器的地址输入(选择控制)端有()个 C. 4 16.全部的最小项之和恒为 B. 1 17.与CT4000系列相对应的国际通用标准型号为 B. CT74LS低功耗肖特基系列 18.一个触发器可记录一位二进制代码它有()个稳态 C. 2 19.边沿式D触发器是一种()稳态电路 C. 双 20.优先编码器的编码 B. 不是唯一的 1.下列说法正确的是()C. 上述扩展需要一个二线-四线译码器 2.正逻辑是指 C. 高电平用“1”表示,低电平用“0”表示 3.下列说法不正确的是 A. 当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 4.设计一个6进制的同步计数器,需要()个触发器 A. 3 6.下列说法不正确的是() D. A/D转换除了存在选项B中的两种误差,就不存在其他误差了 8.相同为“0”不同为“1”它的逻辑关系是 C. 异或逻辑 9.四位DAC和八位DAC的输出最小电压一样大,那么他们的最大输出电压 B. 前者大于后者 10.下列逻辑电路中为时序逻辑电路的是 C. 数码寄存器 11.对于四变量逻辑函数,最小项有()个 D. 16 12.下列关于555定时器说法正确的是() B. 施密特触发器的回差电压?VT 与5号管脚有关

数字逻辑习题及答案

13.一个4位移位寄存器,现态为0111,经右移1位后其次态为( A ) A .0011或1011 B.1101或1110 C.1011或1110 D.0011或1111 19.逻辑函数F=A ⊕B 和G=A ⊙B 满足关系( ABD )。 A. G F = B. G F =' C. G F =' D. 1G F ⊕= 22.组合逻辑电路的输出与输入的关系可用( AB )描述。 A .真值表 B. 流程表 C .逻辑表达式 D. 状态图 根据需要选择一路信号送到公共数据线上的电路叫___数据选择器_____。 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 F C B A & ? EN D C B A F & ? EN A B F C B A & ? EN F C B A & ? EN C

.TTL 电路的电源是__5__V ,高电平1对应的电压范围是__2.4-5____V 。 .N 个输入端的二进制译码器,共有___N 2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。 写出描述触发器逻辑功能的几种方式___特性表、特性方程、状态图、波形图_________。 .构造一个十进制的异步加法计数器,需要多少个 __4____触发器。计数器的进位Cy 的频率与计数器时钟脉冲CP 的频率之间的关系是____1﹕10_________。 23.(本题满分10分)分析图示逻辑电路,求出F 的逻辑函数表达式,化简后用最少的与非门实现之,并画出逻辑电路图。 解: C B A C B A ABC C B A C B A ABC C B C B C A C B BC A C B C B C A C B C B A C B C B C A C B C B A F ??=++=+++=+++++=++++++=)()())(())(()])((][))(([ B F C 1 &1 B C & 1 1 1 &A A C 装 订 线 内 请 勿 答 题

数字逻辑课本习题答案

习题五 1. 简述时序逻辑电路与组合逻辑电路的主要区别。 解答 组合逻辑电路:若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。组合电路具有如下特征: ①由逻辑门电路组成,不包含任何记忆元件; ②信号是单向传输的,不存在任何反馈回路。 时序逻辑电路:若逻辑电路在任何时刻产生的稳定输出信号不仅与电路该时刻的输入信号有关,还与电路过去的输入信号有关,则称为时序逻辑电路。时序逻辑电路具有如下特征: ○1电路由组合电路和存储电路组成,具有对过去输入进行记忆的功能; ○2电路中包含反馈回路,通过反馈使电路功能与“时序”相关; ○3电路的输出由电路当时的输入和状态(过去的输入)共同决定。 2. 作出与表1所示状态表对应的状态图。 表1 状态表 现态y2 y1 次态y2 ( n+1)y1(n+1) /输出Z x2x1=00x2x1=01x2x1=11x2x1=10 A B C D B/0 B/0 C/0 A/0 B/0 C/1 B/0 A/1 A/1 A/0 D/0 C/0 B/0 D/1 A/0 C/0

解答 根据表1所示状态表可作出对应的状态图如图1所示。 图1 3. 已知状态图如图2所示,输入序列为x=,设初始状态为A,求状态和输出响应序列。 图2 解答 状态响应序列:A A B C B B C B 输出响应序列:0 0 0 0 1 0 0 1

4. 分析图3所示逻辑电路。假定电路初始状态为“00”,说明该电路逻辑 功能 。 图 3 解答 ○1 根据电路图可写出输出函数和激励函数表达式为 x K x,J ,x K ,xy J y xy Z 111121 2===== ○2 根据输出函数、激励函数表达式和JK 触发器功能表可作出状态表如表2所示, 状态图如图4所示。 表2 图4 现态 y 2 y 1 次态 y 2( n+1)y 1(n+1)/输出Z x=0 x=1 00 01 10 11 00/0 00/0 00/0 00/0 01/0 11/0 11/0 11/1

2014数字逻辑期试题及答案Word版

一、填空题(20分,每空2分) 1. (2010) D =() B = () H = () 8421BCD 答案:(111 1101 1010) B = (7DA) H = (0010 0000 0001 0000) 8421BCD 2. 仓库门上装了两把暗锁,A、B两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为。 答案:与逻辑 3. 逻辑函数式F=AB+AC的对偶式为,最小项表达式为 ∑ =m F( )。 答案:) )( (C A B A F D+ + =∑ =m F(5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F' ' ' ' ' '+ + + + + =的最简与或式是。 答案:'D A+ 4. 从结构上看,时序逻辑电路的基本单元是。 答案:触发器 5. JK触发器特征方程为。 答案:Q K JQ' '+ 6.A/D转换的一般步骤为:取样,保持,,编码。 答案:量化 二、选择题(20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为()位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD的真值表中,F=1的状态有()个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用()。 A) 与非门B) 与门C) 集电极开路(OC)门D) 三态门答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门B) “与”门C)“或”门D) “或非”门

图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 图2 A) 上升沿D 触发器 B) 下降沿D 触发器 C) 下降沿T 触发器 D) 上升沿T 触发器 答案:D 7. 寄存器要存放n 位二进制数码时,需要( )个触发器。 A) n B) n 2log C) n 2 D) n/2 答案:A B C

相关主题