搜档网
当前位置:搜档网 › Synopsys Sentaurus_vA_2008.09-SP1

Synopsys Sentaurus_vA_2008.09-SP1

在RedHat Enterprise Linux Server (Release 5.4.03)上安装Synopsys TCAD-vA_2008.09-SP1及配置

1. 版本及安装环境

软件:Sentaurus_vA_2008.09-SP1

操作系统说明(软件可以直接安装在该操作系统下):

#cat /etc/redhat –release ? RedHat Enterprise Linux Server Release 5.4.03(Tikanga)

#rpm –q redhat –release ? Kernel:2.6.18-164.e15PAE

#uname –a ? i686 i386 IA-32 (x86);

2. 工作准备(全部的软件包,包含4个辅助工具、两个synopsys安装包约为2.5Gb)

a. Sentaurus软件包(包括sentaurus_vA_2008.09-SP1_common.tar和Sentaurus_vA_2008.09-SP1_linux.tar),就是common和platform,在大多数论坛、ftp上可以用emule搞到。

可在https://www.sodocs.net/doc/4313455249.html,/bbs/viewthread.php?tid=164474&highlight=Tc%40d 下载

b. EFA licgen0.4b, synopsys sss feature keygen, scl10.9.1d, synopsys install2.0 (注意标了版本号的一定要符合,没有标的尽量高些,这些均可在eetopBBS下载) ,安装Sentaurus TCAD软件前,最好阅读相关的【installer】、【TCAD】及【SCL】的安装说明(pdf文件中已指出需要设定STROOT、STDB和SCL的环境变量);

c. RedHat Enterprise Linux AS5安装好后,在Applications->System Settings->Add/Remove Applications里,将Development Tools选上安装(这样就安装了原文里面的libstdc++.so.5),需插入Redhat的安装盘。(本例已由IT协助完成RHEL5.4的安装);

d. 不要随意修改安装文件文件名,目录中不要带@字符

e. 安装时,一般进入root权限(可以采用$su –和$su - 来回切换)

f. 我没有安装openGL库

g. 新手可能不知道的Linux命令

tar –xvf *.tar.gz 解压缩tar.gz的文件;

tar –zxvf *.tar.Z 解压缩tar.Z的文件

./ 运行文件

df –k 查看磁盘空间情况

第 1 页共 29 页

hostname 查看主机名称

gedit 编辑该文件

3. 安装过程

a. 打开scl10.9.1d,针对32-bit OS,选择linux.tar.gz,解压安装,在对应平台目录(/home/tools/Sentaurus2008)下,生成linux/bin文件夹(内含lmgrd、lmhostid、snpslmd等可执行文件),运行lmhostid,得到hostid(78e7d1879a40),记下。--- PS:此时更改为/home/tools/Sentaurus2008/linux/bin/的路径(将bin文件夹提前)!

第 2 页共 29 页

b*.解压缩sentaurus 的安装包(sentaurus_vA_2008.09-SP1_common.tar和

Sentaurus_vA_2008.09-SP1_linux.tar),两个文件包各自生成的文件分别为:

--common ? 1) release (folder); 2) README.LST ; 3) Sentaurus.bz2

--linux ? 1) linux (folder,包含另一个Sentaurus.bz2,但大小不同于前者); 2) release (folder) 以上生成的文件,包括一个sentaurus.bz2和linux、release两个文件夹,copy到

/home/tools/Sentaurus2008;

第 3 页共 29 页

第 4 页共 29 页

c.采用tar –zxvf installer_v2.0.tar.Z,解压缩synopsys install_v2.0 (低版本不行,高的没有试用),将生成的install_v2.0文件夹copy到/home/tools/Sentaurus2008,运行其中的setup.sh,采用图形对话框,安装sentaurus:#提示【输入包含ESP(ftp)或该版本的DVD-ROM的顶级安装目录?/home/tools/Sentaurus2008; click ‘done’,EST.tar 文件和CD镜像都在该目录下出现;

#提示‘installer’会摒弃CD镜像,只用EST文件,click ‘YES’;

#提示‘被选中的Release-A_2008.09-SP1’有效的产品为‘Sentaurus’,click ‘next’;

第 5 页共 29 页

第 6 页 共 29 页

#**提示‘SITE ID 000’等附加信息,不做任何修改,click ‘next’;

#提示‘选择要安装的产品- Sentaurus’,click ‘next’;

#提示‘再一次确认安装产品’,click ‘next’;

#***提示‘给出适合该linux 平台的安装软件—Linux-Red Hat Enterprise Linux’,安装到

“/home/tools/Sentaurus2008/A_2008.09-SP1”,提示“检查所选信息:common 平台文件包名称、安装文件所需空间约占1275MB”,click ‘install’;

#提示“安装成功”,取消其他软件的安装;

*选择安装源要选中那个“同一目录” 很多人不明白解压到同一目录,

其实并没有关系,可以先安装common ,再安装linux ,

但要安装到同一目录!

**安装时Site ID Number不用改,默认即可,不是hostid

***选择安装路径时,可以在另一终端使用df –k查看空间,选择足够大的文件夹(需要1.2G左右)

d. 以下EFA、SSSverify软件须在windows下解压缩,生成自己的许可文件synopsys.dat (用记事本打开

synopsys.src、synopsys.dat文件)

1)找到EFA licgen0.4b中packs 目录中synopsys.src文件,清空文件内容然后加上后面附录的内容(注意:Platform和-0:100000:0字段是连在一起的,原文中要求的去掉^M就指这一项). (PS:此项完全依照文件包进行,未执行此步的清空等动作)

2)运行licgen.exe选择synopsys.lpd,将Use Daemon选中,选择custom选项(hostID及daemonID共2处

custom),填入上面得到的hostid (78e7d1879a40),gengerate数据文件synopsys.dat c(最终将放于/home/tools/Sentaurus2008/license/下面),save到synopsys sss feature keygen目录下

第 7 页共 29 页

3)windows开始菜单->运行->cmd,进入控制台,进入synopsys sss feature keygen目录,运行sssverify synopsys.dat得到secret data n (一般会有一大串屏幕显示,在最下面,记下 0090 0000 7f91 0000 0000 Enter

it into ZWT KeyGen)。

第 8 页共 29 页

4)运行keygen.exe(synopsys sss feature keygen目录中),填入secret data o (0090 0000 7f91 0000 0000)和hostid (无空格输入78e7d1879a40),在VENDOR STRING栏中出现69656 d1d88 34cc3 3f943 c7eb9 df1ac c59a4 23589 aa9a3 196,目录中会产生一个license.dat文件 (非正式,用于后续处理包括SERVER、

VENDOR、FEATURE SSS snpslmd).

第 9 页共 29 页

5)将上步生成的license.dat 中的SSS Feature:

FEATURE SSS snpslmd 1.0 31-dec-2020 uncounted DDDC0D96D53EF805F87B \

VENDOR_STRING="69656 d1d88 34cc3 3f943 c7eb9 df1ac c59a4 23589 \

196" HOSTID=78e7d1879a40 ISSUER="Team ZWT 2006" \

aa9a3

NOTICE="Licensed to mammoth//ZWT 2006 [PLEASE DO NOT DELETE THIS \

SSS KEY]" SN=RK:1978-0:001224:0 START=1-jan-2006

插入到synopsys.dat d里的DAEMON snpslmd !!!Path_to_snpslmd.exe语句的下一行;

第 10 页共 29 页

6) 修改synopsys.dat的头两句:

SERVER ISE-TCAD 78e7d1879a40 27000

DAEMON snpslmd /home/tools/Sentaurus2008/bin/snpslmd

1.

最终的synopsys.dat e,参见附录

*host_name用命令查看得出,并不是想象中的账户名之类

**snpslmd后的路径根据SCL不同而变

第 11 页共 29 页

7) 根据自己的需要创建一个license文件夹,把修改好的synopsys.dat f放到license文件夹下

/home/tools/Sentaurus2008/license/synopsys.dat

e. 环境变量

1)根据自己的安装目录情况配置bashrc (linux系统的/home/users/suk or renxh or hels):

# .bashrc

# 'Uppercase' & 'lowercase' must match the real path!!!!

#######################################################

#synopsys sentaurus installation path:

SENT_HOME=/home/tools/Sentaurus2008/A_2008.09-SP1

export PATH=$PATH:$SENT_HOME/bin

#######################################################

#SCL installation path:

SCL_HOME=/home/tools/Sentaurus2008

export PATH=$PATH:$SCL_HOME/bin

#Above 'SENT_HOME' & 'SCL_HOME' can help to launch program in desktop terminal.

#######################################################

export SNPSLMD_LICENSE_FILE=27000@ISE-TCAD

#######################################################

#License path:

LM_LICENSE_FILE=/home/tools/Sentaurus2008/license/synopsys.dat:$MGC_LICENSE_FILE export LM_LICENSE_FILE

#######################################################

#TCAD Project path:

STDB=/home/users/suk/DBworks

export STDB

#'STDB' is a 100% matchable name.

#'DBworks' should match user’s folder for saving project.

#######################################################

#Input language when TCAD running

LANG=en_US

export LANG

#The LANG enable user to make input when TCAD running!

第 12 页共 29 页

#######################################################

# Source global definitions

if [ -f /etc/bashrc ]; then

/etc/bashrc

.

fi

# User specific aliases and functions

2) 让lic开机自动启动

打开/etc/rc.local,添加下面一句(完整的一句,参照lmgrd语法):

[suk@ISE-TCAD license]$ more /etc/rc.local

#!/bin/sh

# This script will be executed *after* all the other init scripts.

# You can put your own initialization stuff in here if you don't

# want to do the full Sys V style init stuff.

touch /var/lock/subsys/local

PS:(local文件是空的文本文件,此语句用于定义开机后启动的服务项目,调用/etc/rc.d/rc.local? /etc/rc.local)

################################################################################必须在touch之后!!

#Verify whether license is waked-up:

/home/tools/Sentaurus2008/bin/lmgrd -c /home/tools/Sentaurus2008/license/synopsy

s.dat -l /home/tools/Sentaurus2008/license/license.log

################################################################################

PS:

/etc/rc.d/rc.local 凡是需要随系统自动启动的服务、程序等,只要系统没有提供Sys V风格的启动脚本,就把这些需求都塞到

/etc/rc.d/rc.local中。

PS:

所有启动脚本放置在 /etc/rc.d/init.d(与system?Administration?Server Setting?services中相同)下。rc?.d中放置的是init.d中脚本的链接,命名格式是:

S{number}{name} S开始的文件向脚本传递start参数

K{number}{name} K开始的文件向脚本传递stop参数

number决定执行的顺序

第 13 页共 29 页

init是linux的启动时,所有进程之父,init读取/etc/inittab,执行rc.sysinit脚本。

rc?.d中的?代表不同的运行级别,通过more /etc/inittab,可看到0-6的运行级别含义:

# Default runlevel. The runlevels used by RHS are:

# 0 - halt (Do NOT set initdefault to this) 停机模式,(千万不要把initdefault设置为0 )

# 1 - Single user mode 单用户模式

# 2 - Multiuser, without NFS (The same as 3, if you do not have networking) 多用户模式,但是没有NFS支持。# 3 - Full multiuser mode 网络多用户文本模式,为完整的多用户模式,是标准的运行级。

# 4 - unused 一般不用,在一些特殊情况下可以用它来做一些事情。

# 5 - X11 网络多用户图形模式,也就是X11,进到X Window系统了。

# 6 - reboot (Do NOT set init default to this) 为重启,运行init 6机器就会重启。

上面所有文件夹(rc1.d~rc6.d)下,以S开头的表示要启动, 以K开头的表示不启动。

linux随机启动的服务程序都在/etc/init.d这个文件夹里,里面的文件全部都是脚本文件(脚本程序简单的说就是把要运行的程序写到一个文件里让系统能够按顺序执行,类似windows下的autorun.dat文件),另外在/etc这个文件夹里还有诸如名为rc1.d, rc2.d一直到rc6.d的文件夹,这些都是linux不同的runlevel,我们一般进入的X windows多用户的运行级别是第5级,也就是rc5.d,在这个文件夹下的脚本文件就是运行第5级时要随机启动的服务程序。需要注意的是,在每个rc (1-6).d文件夹下的文件其实都是/etc/init.d 文件夹下的文件的一个软连接(类似windows中的快捷方式),也就是说,在 /etc/init.d文件夹下是全部的服务程序,而每个rc(1-6).d 只链接它自己启动需要的相应的服务程序!

/sbin/chkconfig, /sbin/service.

f. 启动

重新启动计算机或输入lmgrd(显示valid SSS feature found),以启动license manager,新建终端输入GENESISe,出现Sentaurus窗口:SWB@ISE-TCAD vA-2008.09

root Sentaurus

DE/MYGROUP/Capricorn785

Loading

Windows:

第 14 页共 29 页

第 15 页 共 29 页

g. 配置Sentaurus 的工作环境 pdf 、html 、文本等页面的编辑器分别为/usr/bin/evince 、firefox 、gedit ;

第 16 页共 29 页

第 17 页共 29 页

h.配置lmgrd,使之可以开机自动启动;

以下错误信息由于未自动配置lmgrd(或是配置不正确,如e-2)中将touch语句放在lmgrd之后,出现异常),因而出现软件找不到license。此时需要手动输入’lmgrd’以完成启动。

以下是lmgrd(/etc/rc.local)配置成功后的显示信息(/home/tools/Sentaurus2008/license/license.log)附录2.

第 18 页共 29 页

C:\Documents and

Settings\qsk60146 i.设置users, groups和文件权限

第 19 页共 29 页

j.完整的安装文件:

第 20 页共 29 页

IC后端流程初学必看样本

校外IC后端实践报告 本教程通过对synopsys公司给lab进行培训,从verilog代码到版图整个流程(固然只是基本流程,由于真正一种大型设计不是那么简朴就完毕),此教程目就是为了让人们尽快理解数字IC设计大概流程,为后来学习建立一种基本。此教程只是本人摸索实验成果,并不代表内容都是对的,只是为了阐明大概流程,里面一定尚有诸多未完善并且有错误地方,我在此后学习当中会对其逐个完善和修正。 此后端流程大体涉及一下内容: 1.逻辑综合(工具DC 逻辑综合是干吗就不用解释了把?) 2.设计形式验证(工具formality) 形式验证就是功能验证,重要验证流程中各个阶段代码功能与否一致,涉及综合前RTL代码和综合后网表验证,由于如今IC设计规模越来越大,如果对门级网表进行动态仿真话,会耗费较长时间(规模大话甚至要数星期),这对于一种对时间规定严格(设计周期短)asic 设计来说是不可容忍,而形式验证只用几小时即可完毕一种大型验证。此外,由于版图后做了时钟树综合,时钟树插入意味着进入布图工具本来网表已经被修改了,因此有必要验证与本来网表是逻辑等价。 3.静态时序分析(STA),某种限度上来说,STA是ASIC设计中最重要环节,使用primetime 对整个设计布图前静态时序分析,没有时序违规,则进入下一步,否则重新进行综合。 (PR后也需作signoff时序分析) 4.使用cadence公司SOCencounter对综合后网表进行自动布局布线(APR) 5.自动布局后来得到详细延时信息(sdf文献,由寄生RC和互联RC所构成)反标注到网 表,再做静态时序分析,与综合类似,静态时序分析是一种迭代过程,它与芯片布局布线联系非常紧密,这个操作普通是需要执行许多次才干满足时序需求,如果没违规,则进入下一步。 6.APR后门级功能仿真(如果需要)

synopsys_ic_compiler_介绍、安装、调试和设计流程

synopsys ic compiler 介绍、安装、调试和设计流程 加入该小组相关分类: petery (组长) 2007/9/23 顶楼举报 一、介绍 synopsys ic compiler (v2005.linux)是基于Galaxy设计平台开发的产品。主要的工具有: LEDA LEDA是可编程的语法和设计规范检查工具,它能够对全芯片的VHDL和Verilog描述、或者两者混合描述进行检查,加速SoC的设计流程。 LEDA预先将IEEE可综合规范、可仿真规范、可测性规范和设计服用规范集成,提高设计者分析代码的能力 VCS VCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。 VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off的要求。VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特点,适用于从行为级、RTL到Sign-Off等各个阶段。VCS已经将CoverMeter中所有的覆盖率测试功能集成,并提供VeraLite、CycleC等智能验证方法。VCS和Scirocco也支持混合语言仿真。VCS和Scirocco都集成了Virsim图形用户界面,它提供了对模拟结果的交互和后处理分析。 Scirocco Scirocco是迄今为止性能最好的VHDL模拟器,并且是市场上唯一为SoC验证度身定制的模拟工具。它与VCS 一样采用了革命性的模拟技术,即在同一个模拟器中把节拍式模拟技术与事件驱动的模拟技术结合起来。Scirocco的高度优化的VHDL编译器能产生有效减少所需内存,大大加快了验证的速度,并能够在一台工作站上模拟千万门级电路。这一性能对要进行整个系统验证的设计者来说非常重要。 Vera Vera验证系统满足了验证的需要,允许高效、智能、高层次的功能验证。Vera验证系统已被Sun、NEC、Cisco等公司广泛使用以验证其实际的产品,从单片ASIC到多片ASIC组成的计算机和网络系统,从定制、半定制电路到高复杂度的微处理器。Vera验证系统的基本思想是产生灵活的并能自我检查的测试向量,然后将其结合到test-bench中以尽可能充分测试所设计的电路。Vera验证系统适用于功能验证的各个层次,它具有以下特点:与设计环境的紧密集成、启发式及全随机测试、数据及协议建模、功能代码覆盖率分析。 Physical Compiler Physical Compiler解决0.18微米以下工艺技术的IC设计环境,是Synopsys物理综合流程的最基本的模块,它将综合、布局、布线集成于一体,让RTL设计者可以在最短的时间内得到性能最高的电路。通过集成综合算法、布局算法和布线算法。在RTL到GDS II的设计流程中,Physical Compiler向设计者提供了可以确保即使是最复杂的IC设计的性能预估性和时序收敛性。 Clocktree Compiler ClockTree Compiler是嵌入于Physical Compiler的工具,它帮助设计者解决深亚微米IC设计中时钟树的时序问题。它不仅能够简化设计流程,而且可以极大的提高时钟树的质量:对于插入延时有5%-20%的改进,对时钟偏移有5%-10%的改进。 DC-Expert DC得到全球60多个半导体厂商、380多个工艺库的支持。据最新Dataquest的统计,Synopsys的逻辑综合工具占据91%的市场份额。DC是十二年来工业界标准的逻辑综合工具,也是Synopsys最核心的产品。它使IC设计者在最短的时间内最佳的利用硅片完成设计。它根据设计描述和约束条件并针对特定的工艺库自动综合出一个优化的门级电路。它可以接受多种输入格式,如硬件描述语言、原理图和网表等,并产生多种性能报告,在缩短设计时间的同时提高设计性能。 DC Ultra 对于当今所有的IC设计,DC Ultra 是可以利用的最好的综合平台。它扩展了DC Expert的功能,包括许多高级的综合优化算法,让关键路径的分析和优化在最短的时间内完成。在其中集成的Module Compiler数据通路综合技术, DC Ultra利用同样的VHDL/Verilog流程,能够创造处又快又小的电路。 DFT Compiler DFT Compiler提供独创的“一遍测试综合”技术和方案。它和Design Compiler 、Physical Compiler系列产品集成在一起的,包含功能强大的扫描式可测性设计分析、综合和验证技术。DFT Compiler可以使设计者在设计流程的前期,很快而且方便的实现高质量的测试分析,确保时序要求和测试覆盖率要求同时得到满足。DFT Compiler同时支持RTL级、门级的扫描测试设计规则的检查,以及给予约束的扫描链插入和优化,同时进行失效覆盖的分析。 Power Compiler Power Compiler?提供简便的功耗优化能力,能够自动将设计的功耗最小化,提供综合前的功耗预估能力,

synopsys DC10.03图文安装配置详解

喾林原创 Synopsys DC10.03安装配置 1、需准备安装包: 1)、Synopsys DC(design compiler)安装包 2)、SCL 安装包(注:此包为synopsys license 管理)。 3)、Synopsys 图像安装工具:installer2.0及以上均可。 (注:图形安装操作简单,不易出错,故采用图形安装界面) 4)、Synopsys license 制作工具( EFA LicGen 0.4b 和Synopsys SSS Feature Keygen )。 2、开始安装DC : 1)、启动图形安装界面 于linux 系统下解压installer2.0包(在windows 下解压文件易损坏)。解压后运行setup.sh 得如图(一)所示界面。 图 (一)

喾林原创点击“start ”有如图(二)所示界面开始安装。在“Source ”栏选中DC 安装文件所在上层目录。“Done ”后“Next ”(此次“Next ”时间较长,耐心等待)。 图 (二) 之后可一直“NEXT ”到如图(三)所示。 图 (三)

在该界面勾选linux选项即可,继续下一步到如图(四)所示。选择安装路径后继续下一步直到结束。 喾林原创 图(四) 至此DC安装结束。 3、开始安装SCL: 此安装与DC安装步骤一直,几乎没有差别,唯一不同的就是安装路径不同。 4、license的制作: License的制作是在windows下制作的。

1)、打开EFA LicGen 0.4b文件夹运行LicGen.exe程序出现如图(五)所示界面。 喾林原创 图(五) 点击“OPEN”选择Synopsys.lpd文件,“打开”。回到图(五)所示界面。勾选上Custon、Use Daemon及最后一个Custon。

synopsys简易教程

以.cshrc 及用户根目录下的.synopsys_vss.setup .synopsys_dc.setup 已经配置为前提)1.创建工作目录; 2.编写vhdl源程序; 3.编写.synopsys_vss.setup 和.synopsys_dc.setup文件; 4.编译vhdl源程序; 5.运行vhdldbx 仿真器; 6.运行synopsys的综合器; 7.完成综合后的门级仿真; 以一个一位加法器为例,进行具体说明(用户界面为CDE): 1)创建adder 目录: 可以在资源管理器中完成,也可以在unix环境下完成:mkdir adder; 2)在adder目录下创建work目录; 3)编写.synopsys_vss.setup文件并存入adder目录;.synopsys_vss.setup的内容如下:WORK >DEFAULT DEFAULT:work TIMEBASE = NS 4)编写一位加法器的源程序(adder1.vhd)并存入adder目录下: library ieee; use ieee.std_logic_1164.all; entity adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic; dout: out std_logic; cout: out std_logic); end adder1; architecture rtl of adder1 is begin dout <= din1 xor din2 xor cin; cout <= (din1 and din2) or (cin and (din1 xor din2)); end rtl; 5)编写一位加法器的测试基准(即test_bench)并存入adder目录下:(tb_adder1.vhd)library ieee; use ieee.std_logic_1164.all; entity tb_adder1 is end tb_adder1; architecture rtl of tb_adder1 is component adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic;

虚拟机Linux系统中安装SYNOPSYS工具图解教程

虚拟机Linux系统中安装SYNOPSYS工具图解教程 陈浩利 2011-05-16 一、安装环境 虚拟机:VMware 7.1 操作系统:Fedora 10 installer版本:2.0 scl版本:10.9.3(据网上资料,有些用了11.1版本的,兼容性不是很好,故用此版本) dc版本:syn_vC-2009.06-SP5 vcs版本:vcs-mx_vD-2009.12 simif版本:simif_vC-2009.06-SP1 pt版本:prime time pts_vD-2009.12-SP1 (以上软件EETOP上均有下载链接) 二、安装步骤 2.1建立共享文件夹 前提:VMware和Linux系统均安装了VMware Tools,如果没有可以将VMware Tools安装文件拷贝在U 盘中(Fedora 10可以识别U盘)进行安装。 新建一个虚拟机,然后编辑虚拟机: 添加共享文件夹目录:

设置的文件夹Windows系统和Linux系统均可对其进行读写,将Synopsy的各种安装文件放在这个文件夹,再拷贝到Linux系统自己的分区。 2.2 拷贝安装文件 2.2.1 新建文件夹 进入Fedora10 操作系统,在/home/chenhaoli(不同用户有不同的用户名,Fedora10中,用户只有在该路径下具有完全读写权限)下新建文件夹: /home/chenhaoli/eda(新建)/synopsys(新建)|--installer |--tar(存放installer安装文件) |--installer_v2.0(安装路径) |--scl |--tar(存放scl安装文件) |--scl_v10.9.3(scl安装路径) |--license(存放license) |--dc |--tar(存放dc安装文件) |--dc_2009(dc_2009安装路径) |--vcs |--tar(存放dc安装文件) |--vcs_2009(vcs_2009安装路径) |--simif |--tar(存放dc安装文件) |--simif_2009(simif_2009安装路径) |--pt |--tar(存放dc安装文件) |--pt_2009(pt_2009安装路径)

VCS教程

SAN JOSE STATE UNIVERSITY College of Engineering DEPARTMENT OF ELECTRICAL ENGINEERING EE271 Tutorial on Using Synopsys Verilog Compiler Simulator This tutorial basically describes how to use the Synopsys Verilog Compiler Simulator (vcs) to simulate a Verilog description of a design and how to display graphical waveforms. Apply for An Account If you already have an account on Cadence lab then use it. There is no need for having multiple accounts. If you’re an engineering student or are taking an engineering class, you already have one UNIX account. You can (re)set your password by following the instruction at https://www.sodocs.net/doc/4313455249.html, Once you have already had an account, you can login to your account from workstations in room ENGR289 and room ENGR291. You can remote login to your account from you PC by using SSH remote Secure Shell together with the X-Server for Window software, the Exceed Hummingbird. The Synopsys VCS Simulator VCS (Verilog Compiler Simulator) is a tool suite from Synopsys. It includes VirSim, a graphical user interface to VCS for debugging and viewing waveforms. The methodology of debugging your project design involves three steps: 1) Compiling your verilog source code, 2) Running the simulation, and 3) Viewing the generated waveforms. The VCS tools will allow you to combine these steps to debug your design interactively. VCS works by compiling your Verilog source code into object files, or translating them into C source files. VCS invokes a C compiler (cc, gcc, or egcs) to create an executable file that will simulate your design. This simulator can be executed on the command line, and can create a waveform file. Alternately, the design can be simulated interactively using VirSim, and the waveforms can be viewed as you step through the simulation. The rest of this document will give a brief overview of the tools and show you how to compile and simulate a down-counter example.

启动dc_shell工具的.synopsys.setup文档

启动dc_shell工具的.synopsys.setup文档

设置启动dc_shell-t工具 的 .synopsys.setup文件 Author:周建伟 Company:西安邮电大学SOC组 Date:2013.10.30 摘要:若你在读不进你的库,即在你的运行报告

中总是有:warning:Can’t read link_library file ‘your_library.db’,这边文档会对你有一定的帮助

逻辑综合环境 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件(位置:inst_dir/admin/setup/.synopsys_dc.setup)。启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: DC安装目录($DC_PATH/admin/setup) 用户主目录 工具启动目录 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置 本文档重在讲述怎么设置工具启动目录 1、把inst_dir/admin/setup/.synopsys_dc.setup 文件拷贝到你DC脚本目录下(也就是和你脚本在同个目录下) 2、在.synopsys_dc.setup文件的第92行,即set link_force_case “check_reference”命令下修

改内容如下: A、s et lib_path /library/smic18/feview~2/version2.2(注: lib_path为你smic18库安装目录,不同于 DC安装目录) B、set link_library [list * $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss. db ] C、s et search_path [list . ${synopsys_root}/libraries/syn ${synopsys_root}/dw/syn_ver \ ${synopsys_roo t}/dw/sim_ver \ $lib_path/STD/ Synopsys $lib_path/IO/Synopsys ] D、s et target_library [list $lib_path/STD/Synopsys/smic18_ss.db \

synopsys_DC for Ubuntu10.04安装步骤

Synopsys Design Compiler 2008.09安装步骤 安装环境说明:此文讲述的是基于虚拟机VMware 中的Ubuntu10.04系统安装DC 的详细过程。在其它Linux 系统安装也可以循此步骤安装。 安装资源准备:synopsys installer 2.0版本;scl(scl_v10.9.3_common 和scl_v10.9.3_linux);DC _200809(Design Compiler_200809_common 和Design Compiler_200809_linux);license 。 破解工具:EFA LicGen 0.4b 和 Synopsys SSS Feature Keygen 。 安装的目录结构:在安装的过程中会选择要安装的路径,这些指安装后的文件夹结构。 安装过程:(安装是在root 权限下进行的,推荐) 1. 安装前确保Linux 系统已经安装了csh .若没有安装,通过命令apt-get installer csh 安装, 如下所示: 2. 安装installer :将synopsys installer2.0 解压到installer 文件夹即可. 3. 安装SCL : 通过命令./installer –gui ,采用图形化用户界面的方式安装。首先用cd 命令转 到installer 目录下,然后执行 ./installer –gui 命令。如图所示:(参照图中下方两行命令)

选中目标后,点击Done。 接下来一直点击Next就行,出现下面的情况,点击NO,继续安装。

接着选择要安装的路径(这时选择的路径是最开始准备好的那个目录结构,不能选错了)。 这样scl_v10.9.3_common就安装成功。 用同样的方法安装scl_v10.9.3_linux。

Synopsys系列工具简介

Synopsys系列工具简介 Synopsys的产品线覆盖了整个IC设计流程,使客户从设计规范到芯片生产都能用到完备的最高水平设计工具。公司主要开发和支持基于两个主要平台的产品,Galaxy设计平台和Discovery验证平台。这些平台为客户实现先进的集成电路设计和验证提供了整套综合性的工具。 Synopsys解决方案包括: System Creation(系统生成) System Verification and Analysis(系统验证与分析) Design Planning(设计规划) Physical Synthesis(物理综合) Design for Manufacturing(可制造设计) Design for Verification(可验证设计) Test Automation(自动化测试) Deep Submicron, Signal and Layout Integrity(深亚微米技术、信号与规划完整性技术) Intellectual Property and Design Reuse Technology(IP 核与设计重用技术) Standard and Custom Block Design(标准和定制模块设计) Chip Assembly(芯片集成) Final Verification(最终验证) Fabrication and Packaging(制造与封装设计工具) Technology CAD(TCAD)(工艺计算机辅助设计技术) 主要包括以下工具: 1.VCS (Verilog Compiled Simulator) 2.DC (Design Compiler) 3.ICC (IC Compiler) 4.PT (PrimeTime) 5.Hercules (Hercules Physical Verification) 6.Star-RCXT (parasitic extraction tool) 7.LEDA (LEDA Checker and LEDA Specifier) 8.Formality (RTL to gate-level equivalence checking of cell-based designs) 9.TetraMAX ATPG (Provides manufacturing test patterns for scan designs)

SYNOPSYS 光学设计软件课程第16课:实用的相机镜头

第16课:实用的相机镜头 在第15课中设计的镜头非常好,但它有点太长。实际上希望它更短,同时希望非常高的分辨率。以下是本课的目标: 1.焦距90毫米 2.半视场角20度 3.半孔径25.4毫米 4.透镜元件长度约100毫米 5.后焦距50毫米或更大 在本课程中,将让DSEARCH找到一个起点。在命令窗口中键入MDS,打开设计搜索菜单,如下所示。 输入箭头所示的数据,然后单击“确定”。看到结果时,可以稍后修改此输入。假设镜头需要七个透镜元件。程序会要求您输入文件名,因此请键入LENS_7等名称。这将打开一个编辑器窗口,其中包含运行该程序所需的输入。 CORE 14 TIME DSEARCH 1 QUIET SYSTEM ID DSEARCH SAMPLE OBB 0 20 12.7 WAVL 0.6563 0.5876 0.4861 UNITS MM END GOALS ELEMENTS 7 FNUM 3.54 BACK 0 0 TOTL 100 0.1 STOP MIDDLE STOP FREE RSTART 400 THSTART 5 ASTART 12 RT 0.5 FOV 0.0 0.75 1.0 0.0 0.0 FWT 5.0 3.0 3.0 NPASS 40 ! this gives the number of passes in the final MACro ANNEAL 200 20 Q COLORS 3 SNAPSHOT 10 QUICK 30 30 ! this option runs much faster END SPECIAL PANT END SPECIAL AANT LLL 50 .1 1 A BACK END GO TIME

ICcomplier安装教程

synopsys ic compiler (v2005.linux)是基于Galaxy设计平台开发的产品。主要的工具有:LEDA LEDA是可编程的语法和设计规范检查工具,它能够对全芯片的VHDL和Verilog描述、或者两者混合描述进行检查,加速SoC的设计流程。LEDA预先将IEEE可综合规范、可仿真规范、可测性规范和设计服用规范集成,提高设计者分析代码的能力 VCS VCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off的要求。VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特点,适用于从行为级、RTL到Sign-Off等各个阶段。VCS已经将CoverMeter中所有的覆盖率测试功能集成,并提供VeraLite、CycleC 等智能验证方法。VCS和Scirocco也支持混合语言仿真。VCS和Scirocco都集成了Virsim 图形用户界面,它提供了对模拟结果的交互和后处理分析。 Scirocco Scirocco是迄今为止性能最好的VHDL模拟器,并且是市场上唯一为SoC验证度身定制的模拟工具。它与VCS一样采用了革命性的模拟技术,即在同一个模拟器中把节拍式模拟技术与事件驱动的模拟技术结合起来。Scirocco的高度优化的VHDL编译器能产生有效减少所需内存,大大加快了验证的速度,并能够在一台工作站上模拟千万门级电路。这一性能对要进行整个系统验证的设计者来说非常重要。 Vera Vera验证系统满足了验证的需要,允许高效、智能、高层次的功能验证。Vera验证系统已被Sun、NEC、Cisco等公司广泛使用以验证其实际的产品,从单片ASIC到多片ASIC 组成的计算机和网络系统,从定制、半定制电路到高复杂度的微处理器。Vera验证系统的基本思想是产生灵活的并能自我检查的测试向量,然后将其结合到test-bench中以尽可能充分测试所设计的电路。Vera验证系统适用于功能验证的各个层次,它具有以下特点:与设计环境的紧密集成、启发式及全随机测试、数据及协议建模、功能代码覆盖率分析。Physical Compiler Physical Compiler解决0.18微米以下工艺技术的IC设计环境,是Synopsys物理综合流程的最基本的模块,它将综合、布局、布线集成于一体,让RTL设计者可以在最短的时间内得到性能最高的电路。通过集成综合算法、布局算法和布线算法。在RTL到GDS II 的设计流程中,Physical Compiler向设计者提供了可以确保即使是最复杂的IC设计的性能预估性和时序收敛性。 Clocktree Compiler ClockTree Compiler是嵌入于Physical Compiler的工具,它帮助设计者解决深亚微米IC 设计中时钟树的时序问题。它不仅能够简化设计流程,而且可以极大的提高时钟树的质量:对于插入延时有5%-20%的改进,对时钟偏移有5%-10%的改进。 DC-Expert DC得到全球60多个半导体厂商、380多个工艺库的支持。据最新Dataquest的统计,Synopsys的逻辑综合工具占据91%的市场份额。DC是十二年来工业界标准的逻辑综合工具,也是Synopsys最核心的产品。它使IC设计者在最短的时间内最佳的利用硅片完成设计。它根据设计描述和约束条件并针对特定的工艺库自动综合出一个优化的门级电路。它可以接受多种输入格式,如硬件描述语言、原理图和网表等,并产生多种性能报告,在缩短设计时间的同时提高设计性能。 DC Ultra

Synopsys工具简介(1)

Synopsys工具简介 LEDA LEDA是可编程的语法和设计规范检查工具,它能够对全芯片的VHDL和V erilog描述、或者两者混合描述进行检查,加速SoC的设计流程。LEDA预先将IEEE可综合规范、可仿真规范、可测性规范和设计服用规范集成,提高设计者分析代码的能力。 VCS TM VCS是编译型V erilog模拟器,它完全支持OVI标准的V erilog HDL语言、PLI和SDF。VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off的要求。VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特点,适用于从行为级、RTL到Sign-Off等各个阶段。VCS已经将CoverMeter中所有的覆盖率测试功能集成,并提供V eraLite、CycleC 等智能验证方法。VCS和Scirocco也支持混合语言仿真。VCS和Scirocco都集成了V irsim 图形用户界面,它提供了对模拟结果的交互和后处理分析。 Scirocco TM Scirocco是迄今为止性能最好的VHDL模拟器,并且是市场上唯一为SoC验证度身定制的模拟工具。它与VCS一样采用了革命性的模拟技术,即在同一个模拟器中把节拍式模拟技术与事件驱动的模拟技术结合起来。Scirocco的高度优化的VHDL编译器能产生有效减少所需内存,大大加快了验证的速度,并能够在一台工作站上模拟千万门级电路。这一性能对要进行整个系统验证的设计者来说非常重要。 V era V era验证系统满足了验证的需要,允许高效、智能、高层次的功能验证。V era验证系统已被Sun、NEC、Cisco等公司广泛使用以验证其实际的产品,从单片ASIC到多片ASIC 组成的计算机和网络系统,从定制、半定制电路到高复杂度的微处理器。V era验证系统的基本思想是产生灵活的并能自我检查的测试向量,然后将其结合到test-bench中以尽可能充分测试所设计的电路。V era验证系统适用于功能验证的各个层次,它具有以下特点:与设计环境的紧密集成、启发式及全随机测试、数据及协议建模、功能代码覆盖率分析。 Physical Compiler Physical Compiler解决0.18微米以下工艺技术的IC设计环境,是Synopsys物理综合流程的最基本的模块,它将综合、布局、布线集成于一体,让RTL设计者可以在最短的时间内得到性能最高的电路。通过集成综合算法、布局算法和布线算法。在RTL到GDS II的设计流程中,Physical Compiler向设计者提供了可以确保即使是最复杂的IC设计的性能预估性和时序收敛性。 Clocktree Compiler ClockTree Compiler是嵌入于Physical Compiler的工具,它帮助设计者解决深亚微米IC 设计中时钟树的时序问题。它不仅能够简化设计流程,而且可以极大的提高时钟树的质量:对于插入延时有5%-20%的改进,对时钟偏移有5%-10%的改进。

synopsys DC在虚拟机下的安装说明

Synopsys DC200809 安装说明 By:方奇Email: fangqi-gz@https://www.sodocs.net/doc/4313455249.html, 说明: Windows版本:win7 64 家庭高级版。 虚拟机:VMware 7.1.4。(最好到官方网站上下原本,有32位和64位) Linux :RHEL4-U4-i386-AS。(迅雷搜搜) 一、软件下载。包括以下部分 https://www.sodocs.net/doc/4313455249.html,/thread-301143-1-1.html,解压后有两部分: https://www.sodocs.net/doc/4313455249.html,/thread-301143-1-1.html https://www.sodocs.net/doc/4313455249.html,/thread-301143-1-1.html,也包括两部分: https://www.sodocs.net/doc/4313455249.html,/thread-301143-1-1.html,解压也包括两部分: 二、安装(虚拟机安装RHEL省去) 最好在root下安装,可以免去很多不必要的麻烦。 按下面步骤进入root &su 口令***这里输入你自己的密码 就进入到root下了 # 开始安装 1.目录结构,本人在linux的根目录下建了/usr/synopsys文件夹,需要说明的是必须用管理 员的身份进入usr文件夹才能在其中新建文件夹。 下面是我的目录结构,仅供查考 /usr/synopsys |---installer |---10.9.3 |---scl_v10.9.3_commom.tar |---scl_v10.g.3.linux.tar |---license |---B-2008.09 |---sdc_200809_linux |---scd_200809_common 2.安装installer,将installer2.0 解压到installer 文件夹即可。解压后出现以下文件:

Cadence、SYnopsys、Mentor系列软件的全安装

软件平台:RedHat Enterprise Linux Advanced Server 5.2 安装操作系统时,选择自定义主机名,定义计算机名为:RHEL 安装好系统后,在/etc /X11/xorg.conf中的末尾加入如下语句并保存: Section "Extensions" Option "Composite" "Disable" EndSection 硬件平台:神舟F205T,显卡 ATI X1600 一、Mentor Graphics: (一)Modelsim 1、Modesim 6.5的安装: 终端中输入: >./install.linux 2、出现图形化安装界面,依次选择 Agree -> 选择要安装的软件-> 选择安装目标文件夹-> 安装 3、配置license,在 windows下用 modelsim6.5的破解器:双击 MentorKG.exe,在同目录下产生LICENSE.TXT 4、配置启动脚本,在用户主目录下,用gvim打开 .bashrc文件,加入如下两行: export LM_LICENSE_FILE=/home/mentor/modelsim/modeltech/LICENSE.TXT export PATH=$PATH:/home/mentor/modelsim/modeltech/linux 5、把windows下的 LICENSE.TXT拷贝到linux下的/home/mentor/modelsim/modeltech目录中 6、编辑/home/mentor /modelsim/modeltech目录下的modelsim.ini,找到VoptFlow = 1

Synopsys安装和license制作简易教程

Synopsys 工具安装和license制作简易教程 Linux virtual machine “Ubuntu_16_04_for_synopsys” Info, ●Username: jack ●Password: login123 ●Memory: 2GB ●Hard disk: 64GB ●Processor counts: 1 ●Internet connection mode: NAT 安装过程(软件环境:VMware12pro / ubuntu16.04 / 32位版本;64位版本运行不成功)●事先安装好VMware12pro和ubuntu16.04,之后在ubuntu中操作 ●设置Ubuntu镜像源 如图1(a)所示,将ubuntu software->Software & Updates->Download from设置成中国的网站,设置成功后关闭窗口,不选择更新 如图1(b)所示,在终端中键入命令$ sudo apt-get update进行更新 (a) ubuntu software (b) apt update 图1 ubuntu镜像源更新 ●安装必要工具 键入命令$ sudo apt-get install vim csh dconf-editor libjpeg62-dev ●设置Ubuntu显示中文 键入命令$ dconf-editor 如图2所示,将org->gnome->gedit->preferences->encodings->candidate-encodings的值设置成['CURRENT', 'ISO-8859-15', 'GB18030', 'UTF-8', 'UTF-16'] 图2 dconf-editor

synopsys软件清单

FULL Custom Package Formality BDCforNanoSim NanoSim-MLMixedLanguageOption VCSMX LibertyNCX NanoSim NanoSim-TX Cadabra ESP-CV FormalityESP HSPICERF NanoTime HSIM-XL HSIMplusCircuitCheckoption HSIMplusCadenceAAIntegration HSIMplusDigitalCo-SimInterface NanoTimeUltraAdd-On CustomExplorer CustomWaveView SX-CDSLink SX-DAICLink SX-ADPLink SX-JEDATLink SX-CDSENS ChipView SX-DATAoptions SpiceCheck HSPICE ICCompilerDesignPlanning CustomDesignerSE CustomDesignerLE CustomSim CustomSim-FT CosmosScope StarRC StarRCInductanceAdd-on ICValidator/HerculesDP ICValidator/Hercules CustomDesignerSDL Frontend Package TetraMAXIddQTest TetraMAXDSMTest TetraMAXATPG

Formality PioneerNTBwithVera coreBuilder DCUltra HDLCompilerVerilog LibraryCompiler ModuleCompiler PowerCompiler VHDLCompiler VCS DesignWareLibrary DesignWareDeveloper SystemStudio SystemStudioFilterDesignTools SystemStudioSimulator SystemStudioECCModelLibrary SystemStudioSpeechLib DesignVision PrimeTimeSI VCSVerificationLibrary LEDASpecifier LEDAChecker Magellan DesignWareAMBASystemCLib. VCSMX CoreAssembler SystemStudioRDK DesignWareSystem-LevelLibrary InnovatorS InnovatorSRT MVSIM MVRC ESP-CV FormalityESP PrimeTimeVXAdd-on DFTMAX NanoTime PrimeTimePXAdd-On NanoTimeUltraAdd-On JupiterXT Backend Package LibraryCompiler PrimeTimeSI BDCforNanoSim

synopsys EDA工具安装和调试

摘要:本文以美国 Synopsys SOC 一体化设计软件的应用环境为实例,基于当前通用的 Solaris-UNIX 局域网环境,系统地阐述 IC 设计工程软件在网络环境中的典型系统配置、安全保障及系统维护技术。文章给出了超大规模集成电路自动化设计工程软件的常规配置方案,对从事 IC 自动化设计网络环境管理的技术工作者具有较强的可操作性和可借鉴作用。 关键词:超大规模集成电路;片上系统;超深亚微米;电子设计自动化;计算机辅助设计 中图分类号:TN43 文献标识码: B 文章编号:1003-0107(2004) 08 引言 实施超大规模集成电路(ULSI)及片上系统(SOC)一体化设计工程,基于三点:先进的 IC 设计工程软件;高配置的操作系统及网络设备;最佳的、高安全性的软件运行环境。先进的 IC 设计工程软件以美国 Synopsys SOC 一体化设计软件为技术支持。高配置的计算机网络设备全面满足软件的运行要求。当前,最为薄弱的是软件运行环境技术性维护和软件运行的安全性保障问题。这一点,也是诸多集成电路设计公司最为重视的。美国 Synopsys SOC 一体化设计软件在中国的用户增长极快。基于此,以当前通用的 Sol aris-UNIX 局域网环境为基本网络配置,研讨并提出合理的 IC 设计工程软件系统配置、安全保障及系统维护的技术方案,是本文的主要议题。以下的讨论将给出典型的 IC 自动化设计工程软件的常规配置方案及通用的网络环境管理技术,期望着能给 IC 设计工程网络环境的技术维护工作者以较强的借鉴作用。 一 IC 设计工程软件的常规网络环境配置 超大规模集成电路( ULSI )设计对设计软件的运行环境要求极高,突出表现在仿真工程的庞大计算量及操作系统的高安全性。基于此,该系列软体更多地采用 Unix操作系统。通常,大多采用SUN-Server -Workstation系统。SUN-Unix的当前版本是Solaris-9.0,Solaris-9.0为64位操作系统。 以 Synopsys公司(著名的美国EDA设计软件开发与供应商)面世的系列IC设计软件对操作系统的环境要求为例:安装不同版本的Solaris操作系统,要安装不同的patch。对Solaris8.0来讲,除了安装统一的patch集之外,另有两个特别要求的patch,分别是:Motif 1.2.7 、2.1.1 runtime library patch (代号为108940)和CDE 1.4 dtwm patch.(代号为108921)。由于Synopsys的软件通常需要三维图形界面的支持,则还需要配置OpenGL插件。OpenGL插件在Solaris系统安装盘上安装即可。安装了OpenGL 插件之后,再打上与OpenGL相对应的Patch。表1为常规的网络软件环境配置,仅供读者参考。

相关主题