搜档网
当前位置:搜档网 › CD4518组成的数字钟电路图

CD4518组成的数字钟电路图

CD4518组成的数字钟电路图
CD4518组成的数字钟电路图

图为不能进行清零的数字钟

图(一)

图为能够清零的数字时钟

图(二)

图中CD4518的清零端连接了三个二极管,二极管具有单向导通特性,使每个清零端之间没有影响,当清零端为高电位的时候进行清零,所以,按下清零开关,清零端为高电位,时、分、秒清零。

数字时钟电路图

多功能数字计时器设计 姓名:杨会章 学号: 1004220242 专业:通信工程 学院:电光学院 指导教师: 2021-9-15

目录 一、设计内容简介 (3) 二、电路功能设计要求 (3) 三、电路原理简介 (3) 四、各单元电路原理 1、脉冲发生电路 (3) 2、计时电路 (4) 3、译码显示电路 (4) 5、校分电路 (5) 4、清零电路 (6) 6、报时电路 (7) 7、基本电路原理图 (8) 8、动态显示原理 (9) 9、动态显示原理图 (10) 10、波形图 (11) 五、实验中问题及解决办法 (11) 六、附录 (12) 1、元件清单 (12) 2、芯片引脚图和功能表 (12) 3、参考文献 (15)

一、设计内容简介 实验采用中小规模集成电路设计一个数字计时器。数字计时器是由脉冲发生电路,计时电路,译码显示电路,和附加电路控制电路几部分组成。其中控制电路由清零电路,校分电路和报时电路组成。附加电路采用动态显示。 二、电路功能设计要求 1、设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下: 1)设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣器的高低脉冲信号(1KHZ、2KHZ); 2)设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能; 3)设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行手动清零。 4)设计校分电路:在任何时候,拨动校分开关,可进行快速校分。(校分隔秒) 5)设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz); 6)系统级联。将以上电路进行级联完成计时器的所有功能。 7)可以增加数字计时器附加功能:定时、动态显示等。 三、电路原理简介 32678Hz石英晶体振荡器产生的稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器、D触发器输出标准秒脉冲。秒计数器记满60后向分计数器进位。计数器的输出经译码器送显示器。记时出现误差时可以用校时电路进行校分,校秒。利用74153四选一数据选择器和128Hz、64Hz时钟信号控制选择秒位、秒十位、分位输出到译码器,并选通相应的数码管,实现动态显示。 四、各单元电路原理 1、秒脉冲发生电路 采用32678Hz的石英晶体多谐振荡器作为脉冲信号源。经分频器CD4060的分频,从Q14端输出的2Hz的脉冲信号经D触发器组成的二分频电路得到1Hz 的秒脉冲信号。原理图如下:

多功能数字钟电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4) 2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

12小时数字钟电路设计

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计 课程设计题目:12小时数字钟电路设计与实现 院(系):计算机学院 专业:计算机科学与技术 班级:34010104 学号:2013040101164 姓名: 指导教师:胡光元 完成日期:2016 年 1月 13 日

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (2) 1.1设计原理 (2) 1.2设计思路 (2) 1.3设计环境 (2) 第2章详细设计方案 (2) 2.1算法与程序的设计与实现 (3) 2.2流程图的设计与实现 (4) 第3章程序调试与结果测试 (7) 3.1程序调试 (7) 列举出调试过程中存在的问题 (7) 3.2程序测试及结果分析 (7) 参考文献 (9) 附录(源代码) (10)

第1章总体设计方案 1.1设计原理 通过Verilog语言,编写12小时数字钟电路设计与实现的Verilog程序,一般的做法是底层文件用verilog写代码表示,顶层用写的代码生成的原理图文件链接组成,最后在加上输入输出端口。采用自上而下的方法,顶层设计采用原理图设计输入的方式。 1.2设计思路 1.实时数字钟显示功能,即时、分、秒的正常显示模式,并且在此基础上增加上,下午显示。 2.手动校准。按动方式键,将电路置于校时状态,则计时电路可用手动方式校准,每按一下校时键,时计数器加1;按动方式键,将电路置于校分状态,以同样方式手动校分。 1.3设计环境 (1)硬件环境 ?伟福COP2000型计算机组成原理实验仪 COP2000计算机组成原理实验系统由……… ?COP2000集成调试软件 COP2000集成开发环境是为…………. (2)EDA环境 ?Xilinx foundation f3.1设计软件 Xilinx foundation f3.1是Xilinx公司的可编程期间………….

数字钟的设计与制作过程

数字钟的设计与制作 一、设计指标 1. 显示时、分、秒。 2. 可以24 小时制或12 小时制。 3. 具有校时功能,可以对小时和分单独校时,对分校时的时候,停止分向小时进位。校时时钟源可以手动输入或借 用电路中的时钟。 4. 具有正点报时功能,正点前10 秒开始,蜂鸣器 1 秒响 1 秒停地响 5 次。(选做) 5. 为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。 二、设计要求 1. 画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输 路径、方向和频率变化,并以文字对原理作辅助说明。 2. 设计各个功能模块的电路图,加上原理说明。 3. 选择合适的元器件,并选择合适的输入信号和输出方式,在面包板上接线验证、调试各个功能模块的电路。在确 保电路正确性的同时,输入信号和输出方式要便于电路的测试和故障排除。(也可选用Mutisim 仿真) 4. 在验证各个功能模块基础上,对整个电路的元器件和布线,进行合理布局,进行整个数字钟电路的接线调试。 三、制作要求自行在面包板上装配和调试电路,能根据原理、现象和测量的数据检查和发现问题,并加以解决。 四、设计报告要求 1. 格式要求(见附录 1 ) 2. 内容要求 ①设计指标。 ②画出设计的原理框图,并要求说明该框图的工作过程及每个模块的功能。 ③列出元器件清单,并画出管脚分配图和芯片引脚图。 ④画出各功能模块的电路图,加上原理说明(如 2 、 5 进制到10 进制转换,10 进制到 6 进制转换的原理,个 位到十位的进位信号选择和变换等)。 ⑥画出总布局接线图(集成块按实际布局位置画,关键的连接应单独画出,计数器到译码器的数据线、译码器到数 码管的数据线可以简化画法,但集成块的引脚须按实际位置画,并注明名称)。 ⑦数字钟的运行结果和使用说明。 ⑧设计总结:设计过程中遇到的问题及解决办法;设计过程中的心得体会;对课程设计的内容、方式等提出建议。 五、仪器与工具 1. 直流电源 1 台。 2. 四连面包板 1 块。 3. 数字示波器(每两人 1 台) 4. 万用表(每班 2 只)。

数字钟电路设计

本次设计题目:数字钟电路设计 1 简述 数字钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。在控制系统中也常用来做定时控制的时钟源。 2 题目要求 (1)具用时、分、秒十进制数字显示的计时器功能; (2)具有手动校时、校分的功能; (3)通过开关能实现小时的十二进制和二十四进制转换; (4)具有整点报时功能。 主要集成芯片: 计时单元74160 报时单元74192 3 总体方案设计 数字钟由振荡器、分频器、计数器、译码显示、报时等电路组成。其中振荡器和分频器组成标准秒信号发生器,直接决定计时系统的精度。由不同进制的计数器、译码器和显示器组成计时系统。将标准秒信号送入采用60进制的“秒计数器”,每累计60sec就发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60min,发出一个“时脉冲”,该信号将被送到“时计数器”。“时计数器”采用12或24进制计数器,可实现对一天12h 或24h的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态通过6位7段译码显示器显示出来,可进行整点报时,计时出现误差时,可以用校时电路校时、校分。数字钟的原理框图如图2.1所示。

时显示器校分控制电路 校时控制电路秒计时器 分计时器时计时器秒显示器 分显示器报时分频 晶振 图2.1 数字钟原理框图 4 单元电路设计提示 本题目的设计采用自下而上的层次电路设计法。先设计单元电路,再设计总电路。 (1) 秒脉冲产生电路 秒脉冲产生电路在此例中的主要功能有两个:一是产生标准脉冲信号,二是可提供整点报时所需要的频率信号。可用1Hz 的秒脉冲时钟信号源替代。 V11 Hz 5 V 图2.2 1Hz 的秒脉冲时钟信号源 (2) 秒、分、时计时器电路 秒计时器本质上为对1Hz 的秒脉冲时钟信号源进行60进制计数的计数器,其由一个10进制计数器(个位)和一个6进制计数器(十位)串接组成。个位与十位计数器之间采用同步级联复位方式,将个位计数器的进位输出端RCO 接至十位计数器的时钟信号输入端CLK ,完成个位对十位计数器的进位控制。十位计数器选择Q B 和Q C 端做反馈端,经与非门输出至控制清零端CLR ,形成6进制计数形式。十位

单片机数字钟电路图

数字钟设计 一、设计目的 1. 熟悉集成电路的引脚安排。 2. 掌握各芯片的逻辑功能及使用方法。 3. 了解面包板结构及其接线方法。 4. 了解数字钟的组成及工作原理。 5. 熟悉数字钟的设计与制作。 二、设计要求 1.设计指标 时间以24小时为一个周期; 显示时、分、秒; 有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

2.设计要求 画出电路原理图(或仿真电路图); 元器件及参数选择; 电路仿真与调试; PCB文件生成与打印输出。 3.制作要求自行装配和调试,并能发现问题和解决问题。 4.编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 三、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。图3-1所示为数字钟的一般构成框图。 图3-1 数字钟的组成框图

⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。 ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。

数字钟电路pcb设计讲解

摘要 本设计针对数字钟PCB板设计较为复杂的问题,利用国内知名度较高、应用最广泛的电路辅助设计软件protel99se进行了电路板的设计。本设计介绍了各部分电路的构成及准确完成了数字钟PCB电路板的设计。本设计数字钟原理图分析入手,说明了在平台中完成原理图设计,电气检测,网络表生成,PCB设计的基本操作程序。数字钟的主要电路是由电源电路、显示电路、校时电路、晶体振荡电路组成。PCB是电子元器件的支撑体,是电子元器件电气连接的提供者。PCB的设计是以电路原理图为根据,实现电路设计者所需要的功能。优秀的版图设计可以节约生产成本,达到良好的电路性能和散热性能。 关键词:数字钟;PCB;原理图;芯片

目录 前言 (1) 第一章绪论 (2) 1.1 数字钟的研究背景和意义 (2) 1.2 数字钟的发展和趋势 (2) 第二章系统电路的绘制 (3) 2.1 电路组成方框图 (3) 2.2 电路原理图制作 (3) 2.2.1 原理图环境设置 (4) 2.2.2 绘制原理图 (5) 2.2.3电气规则检查及网络表输出 (7) 2.3 原理图分析 (10) 2.3.1 晶体振荡器 (10) 2.3.2 分频器 (11) 2.3.3 计数器电路 (12) 2.3.4 显示和译码电路 (12) 2.3.5 电源电路 (13) 第三章电路板PCB设计 (14) 3.1 PCB设计规范 (14) 3.2 PCB设计流程 (17) 3.3 输出光绘文件 (21) 3.4 PCB制件作 (23) 心得体会 (25) 参考文献 (26) 附图 (27) 附表 (28)

前言 PCB(Printed Circuit Board),中文名称为印制线路板,简称印制板,是电子工业的重要部件之一。几乎每种电子设备,小到电子手表、计算器,大到计算机,通讯电子设备,军用武器系统,只要有集成电路等电子元器件,为了它们之间的电气互连,都要使用印制板。在较大型的电子产品研究过程中,最基本的成功因素是该产品的印制板的设计、文件编制和制造。印制板的设计和制造质量直接影响到整个产品的质量和成本,甚至导致商业竞争的成败。 Protel系列电子设计软件是在EDA行业中,特别是在PCB设计领域具有多年发展历史的设计界软件,由于其功能强大,操作简单实用,近年来成为国内发展最快。 Protel 99已不是单纯的PCB(印制电路板)设计工具,而是由多个模块组成的系统工具,分别是SCH(原理图)设计、SCH(原理图)仿真、PCB(印制电路板)设计、Auto Router(自动布线器)和FPGA设计等,覆盖了以PCB为核心的整个物理设计。该软件将项目管理方式、原理图和PCB图的双向同步技术、多通道设计、拓朴自动布线以及电路仿真等技术结合在一起,为电路设计提供了强大的支持。 随着计算机事业的发展,在信息化时代,电路设计中的很多工作都可以用计算机来完成。这样就大大减轻了设计人员的体力劳动强度,并且保证了设计的规范性准确性。而Protel99SE技术已越来越为人们所关注,人们利用protel99SE绘制各种原理图,进而制作出各种各样的科技产品已经成为当今世界的一个不可或缺的组成部分,所以说Protel99SE技术已越来越显得重要。

数字钟时钟电路图

目录 前言:....................................................................... 错误!未定义书签。 1.设计目的 (3) 2.设计功能要求 (3) 3.电路设计11111111111111111111111111111111111111111111 (3) 3.1设计方案 (3) 3.2单元电路的设计 (4) 3.2.1主体电路部分 (4) 3.2.1.1振荡电路 (5) 3.2.1.2 计数电路 (9) 3.2.1.3 校时电路 (14) 3.2.1.4译码与显示电路 (16) 3.2.2扩展功功能电路的设计 (18) 3.2.2.1定时控制电路 (18) 3.2.2.2 仿广播电台正点报时电路 (20) 3.2.2.3自动报整点时数电路 (21) 3.2.2.4触摸报整点时数电路 (22) 4.调试 (23) 4.1主体电路部分 (23) 4.2 扩展电路部分 (25) 5.总结 (27) 致谢 (28) 参考文献 (29) 附录 (30)

1.设计目的 设计一种多功能数字钟,该数字钟具有基本功能和扩展功能两部分。其中,基本功能部分的有准确计时,以数字形式显示时、分、秒的时间和校时功能。扩展功能部分则具有:定时控制、仿广播电台正点报时、自动报整点时数和触摸报正点的功能。数字钟的电路也是由主体电路和扩展电路两部分构成,在电路中,基本功能部分由主体电路实现,而扩展功能部电路实现。这两部分都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。并且要用数码管显示时、分、秒,各位均为两位显示,扩展部分要有相应的响应电路。分则由扩展 2.设计功能要求 基本功能: (1)时的计时要求为“12翻1”,分和秒的计时要求为60进制 (2)准确计时,以数字形式显示时,分,秒的时间 (3)校正时间 扩展功能: (1)定时控制; (2)仿广播电台报时功能; (3)自动报整点时数; (4)触摸报整点时数; 3.电路设计 3.1设计方案 根据设计要求首先建立了一个多功能数字钟电路系统的组成框图,框图如图1所示。

数字时钟显示电路图

数字时钟显示电路图 发布: | 作者: | 来源: liuxianping | 查看:3663次 | 用户关注: 数字时钟以时、分、秒显示时刻,共用六个数码管,本例采用共阳极数码管,用三极管控制电源的通断。工作原理:6个数码管的字型段输入端(a、b、c、d、e、f,g)全部并接到译码器相应的输出端。电源控制开关管分别接到3~6译码器的六个输出端。时钟六个计数器输出端均采用四位,分别为xl【、xt£、 m x?X2n x2z、x2h x2‘,?,x 、x x 、x 相应的每一位都接到4个6选1的选择器上,选择器输出共4位接到 数字时钟以时、分、秒显示时刻,共用六个数码管,本例采用共阳极数码管,用三极管控制电源的通断。 工作原理:6个数码管的字型段输入端(a、b、c、d、e、f,g)全部并接到译码器相应的输出端。 电源控制开关管分别接到3~6译码器的六个输出端。时钟六个计数器输出端均采用四位,分 别为xl【、xt£、 m x? X2n x2z、x2h x2‘,?,x 、x x 、x 相应的每一位都接到 4个6选1的选择器上,选择器输出共4位接到译码器的输入端(y 、y 、y 、Y )上。数码管及与之对应要显示的计数器,由Q]、、的编码(BCD码)进行循环选择例如,当Q 、 1

、均为?0 时,则3~6译码器的输出端1为高电平,第一个数码管加上电源,与此同 时,六选一选择器对应的输出分别为Y y— y Xs—x X —x 。这时译码器的输 出a,b,??,g虽然接到所有数码管上,但由于只有第一个数码管加上电源,故只有该管点 亮,显示第一个计数器的状态(x 、x 。、xX )。同理,当Q 、Q Q 为001”时,第二 个数码管点亮,显示第二个计数器的状态。依此类推,到第六个数码管断电后,接着第一个又开始点亮。如此循环显示,循环周期为6ms,给人的感觉,就相当所有数码管都一直在同时 加电,实际上每次只有一个,消耗的功率只有静态显示的六分之一。由于数码管电流很大,一 般小型管各段全亮时,大约要150mA~200mA 采用静电显示,此例中就要大于1A的 电流。这对长期工作的时钟很不经济,对于大型数码管会更加严重。此外,采用动态显示,数 码管的寿命与静态相比也相应延长Ⅳ 倍(本例为6倍)。

数字钟时钟电路图设计

文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持. 数字钟电路

文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持. 中文摘要: 加入世贸组织以后,中国会面临激烈的竞争。这种竞争将是一场科技实力、管理水平和人才素质的较量,风险和机遇共存,同时电子产品的研发日新月异,不仅是在通信技术方面数字化取代于模拟信号,就连我们的日常生活也进于让数字化取缔。说明数字时代已经到来,而且渗透于我们生活的方方面面。 就拿我们生活的实例来说明一下“数字”给我们带来的便捷。下面我们就以数字钟为例简单介绍一下。数字钟我们听到这几个字,第一反应就是我们所说的数字,不错数字钟就是以数字显示取代模拟表盘的钟表,在显示上它用数字反应出此时的时间,相比模拟钟能给人一种一目了然的感觉,不仅如此它还能同时显示时、分、秒。而且能对时、分、秒准确校时,这是普通钟所不及的。与此同时数字钟还能准确定时,在你所规定的时间里准确无误的想你发出报时声音,提醒你在此时所需要去做的事。与旧式钟表相比它更适用于现代人的生活。 在毕业之际恰好遇上学校的毕业课题电子时钟设计毕业论文。因而在所学专业的基础上做了以下毕业设计。希望给大家带来方便的同时,使自己对所学专业有进一步的了解!关键字:数字钟校时时间显示定时 目录 前言: .......................................................................... 错误!未定义书签。 1.设计目的 ................................................................... 错误!未定义书签。 2.设计功能要求 ........................................................... 错误!未定义书签。 3.电路设计 .................................................................... 错误!未定义书签。 3.1设计方案............................................................ 错误!未定义书签。 3.2单元电路的设计............................................... 错误!未定义书签。 3.2.1主体电路部分............................................... 错误!未定义书签。 振荡电路............................................................ 错误!未定义书签。 计数电路............................................................ 错误!未定义书签。 校时电路............................................................ 错误!未定义书签。 译码与显示电路................................................ 错误!未定义书签。 ................................................................................ 错误!未定义书签。 ............................................................................. 错误!未定义书签。 仿广播电台正点报时电路................................ 错误!未定义书签。 自动报整点时数电路........................................ 错误!未定义书签。

数字钟设计

数字钟的设计 一.设计要求: 1)采用24小时制,要有时/分/秒显示,显示采用六只LED数码管分别显示时分秒; 2)时、分、秒之间用二极管显示“:”,并每秒种闪烁一次; 3)时间的小时、分可手动调整; 4)采用+5V电源供电。 二.题目分析: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时序电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法. 三.总体方案: 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ 时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。 计数部分分为一个二十四进制和两个六十进制计数,采用74HC390芯片。 显示部分,采用CD4511译码器,而CD4511输出的是高电平有效,所以数码管采用的共阴数码管。 校时部分为了防抖动采用了串联RS触发器。

图1 数字时钟线路原理框图 四.原理图设计 我们这次做的数字钟总体分为四个部分,晶体振荡部分、计数部分、译码显示部分和校时部分。 图2 数字时钟整体原理图 五.各部分定性说明以及定量计算:

功能数字钟的电路设计

功能数字钟的电路设计 一、设计任务与要求 1)时钟显示功能,能够以十进制显示“时”、“分”、“秒”。2)具有校准时、分的功能。 3)整点自动报时,在整点时,便自动发出鸣叫声,时长1s。 选做: 1)闹钟功能,可按设定的时间闹时。 2)日历显示功能。将时间的显示增加“年”、“月”、“日”。

二、数字钟的基本原理 一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校 时电路、报时电路等七部分组成。石英晶体振荡器产生的 信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计 数结果通过“时”、“分”、“秒”译码器译码,并通过显示 器显示时间。数字钟的整机逻辑框图如下: 图 1数字钟整机逻辑图 1)振荡器

石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。用反相器与石英晶体构成的振荡电路如图2所示。利用两个非门G1和G2自我反馈,使它们工作在线形状态,然后利用石英晶体Z1来控制振荡频率。振荡器振荡频率的精度与稳定度基本上决定数字钟的准确度,晶振频率越高,计时准确度越高。目前常见的石英晶振频率是4M H z时,则振荡器输出频率为4M H Z。 图2 石英晶体振荡电路 振荡器还可以采用555时基电路代替。 2)分频器 时间标准信号的频率很高,要得到秒脉冲,需要分频电路。例如,振荡器输出4MH Z信号,可通过D触发器(如74LS74)进行4分频变成1MH Z,也可以将10分频计数器74LS160(或74LS90)行4分频变成1MH Z,然后送到10分频计数器74LS160(或74LS90),经过6次10分频而获得1H Z的方波信号。 ⑶时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十

数字钟时钟电路图设计

个人收集整理仅供参考学习 数字钟电路

目录 前言:错误!未定义书签。 1.设计目的错误!未定义书签。 2.设计功能要求错误!未定义书签。 3.电路设计错误!未定义书签。 3.1设计方案错误!未定义书签。 3.2单元电路的设计错误!未定义书签。 3.2.1主体电路部分错误!未定义书签。 振荡电路错误!未定义书签。 计数电路错误!未定义书签。 校时电路错误!未定义书签。 译码与显示电路错误!未定义书签。 5.总结错误!未定义书签。 致谢错误!未定义书签。 参考文献错误!未定义书签。 附录错误!未定义书签。 前言: 中国是世界上最早发明计时仪器的国家。有史料记载,汉武帝太初年间(纪元前104-101年)由落下闳创造了我国最早的表示天体运行的仪器——浑天仪。东汉时期(公元130年)张衡创造了水运浑天仪,为世界上最早的以水为动力的观测天象的机械计时器,是世界机械天文钟的先驱。盛唐时代,公元725年张遂(又称一行)和梁令瓒等人创制了水运浑天铜仪,它不但能演示天球和日、月的运动,而且立了两个木人,按时击鼓,按时打钟。第一个机械钟的灵魂——擒纵器用于计时器,这是中国科学家对人类计时科学的伟大贡献。它比十四世纪欧洲出现的机械钟先行了六个世纪。 第一只石英钟出现在二十世纪二十年代,从三十年代开始得到了推广,从六十年代开始,由于应用半导体技术,成功地解决了制造日用石英钟问题,石英电子技术在计时领域得到了广泛的应用。并取代机械钟做了更精确的时间标准。早在1880年,法国人皮埃尔·居里和保罗·雅克·居里就发现了石英晶体有压电的特性,这是制造钟表“心脏”的良好材料。科学家以石英晶体制成的振荡计时器和电子钟组合制成了石英钟。经过测试,

数字钟电路板word版

项目名称:数字钟电路的安装与调试 指导教师: 实习时间: 实习地点:电工电子训练中心 一、实习目的 电子工艺实习是电类专业重要的实践教学环节,通过实习,使我们了解常用电子元件的识别与检测的方法,熟悉焊接、电子电路设计等工艺,增强感性认识,加深已学理论知识,提高基本操作技能,提高我们分析问题、解决问题的能力,为学好后续课程以及将来的工作打好坚实的基础。 二、实习要求 1、熟悉和掌握常用仪器、仪表的使用。 2、掌握常用电子元件的识别与检测方法。 3、掌握安装、调试电子线路的基本方法。 三、实习工具和仪器设备 1、电烙铁 1把 2、烙铁架 1个 3、剪刀 1把 4、镊子 1把 5、尖嘴钳 1把 6、一字起 1把 7、万用表 1个

8、锉刀、焊锡丝、松香根据需要自取 四、实习元件 1、色环电阻470Ω 2个 2、色环电阻100Ω 6个 3、电解电容1uF 1个 4、电容0.1nF 14个 5、555定时器 1个 6、4518计数器 5个 7、74ls47译码器 6个 8、74ls08二输入端四与门 1个 9、共阳极LED数码管 6个 10、8脚引脚座 1个 11、14脚引脚座 1个 12、16脚引脚座 11个 13、40脚引脚座 2个 14、双面PCB 1块 五、实习内容 1、电路图

数字钟电路 2、电路原理 数字钟电路由时钟信号(1KHZ矩形脉冲)产生电路、千分频电路、加计数电路以及译码显示电路构成。 ①时钟信号(1KHZ矩形脉冲)产生电路: 该电路是由555定时器及外部阻容元件(R1、R2、C1、C2、C3)

构成的多谐振荡电路。

多谐振荡器也称无稳态触发器,它没有稳定状态,只有两个暂稳态,同时它不须要外加触发脉冲,就能输出一定频率的矩形脉冲(即自激振荡)。 (a)电路图(b)波形图接通电源后,它经过电阻和对电容C充电,当上升略高于时,比较器C1的输出为“0”,将触发器置“0”,为“0”。这时,=1,放电管T导通,电容C通过和T放电,下降。当下降略低于时,比较器C2的输出为“0”,将触发器置“1”,又由“0”变为“1”。由于=0,放电管T截止,又经过和对电容C充电。如此重复上述过程,为连续的矩形波。 第一个暂稳状态的脉冲宽度,即从充电上升到所需的 (+)Cln2=0.7(+)C

数字时钟设计完全数字电路

物理与电气工程学院课程设计报告 数字时钟设计 姓名 xxx 学号 xxx 专业 09电气工程及其自动化 指导教师xxx 成绩 日期 2011.10.15

基于555的数字时钟显示 Xxx (安阳师范学院物理与电气工程学院河南安阳 455000) 摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,通过555定时器改装的多谐震荡器发出的脉冲频率具有一定的准确性。在这次设计中对分频器、计数器、、译码器和显示器进行研究编译,并完成了各种器件的编译工作,实现数字钟的功能。有准确计时,以数字形式显示时、分、秒的时间和校时功能。秒和校时功能都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。并且要用数码管显示时、分、秒,各位均为两位显示。 关键字:555定时器;分频器;时间计数器;译码器;数码管;数字时钟 1引言 随着科技的快速发展,数字电子钟在实际生活中的应用越来越广泛,小到普通的电子表,大到航天器等高科技电子产品中的计时设备。数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有整点报时附加功能。因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、报时电路和振荡器组成。作为自动化的一名学生掌握并能够独立自主设计一个数字电子钟是必要和必须的,既可以加深对课本上理论知识的理解又能锻炼自己的思考和解决问题的能力。于是,经过查阅许多相关书籍和浏览许多网络资源,我做了这款简单数字电子钟的设计。 2 方案论证 2.1 原理设计和功能描述 2.1.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,12进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。

数字钟时钟电路图(毕业论文)

机电工程系2010届毕业生毕业论文 题目:__数字钟电路 院系:_ 机电工程系_ _ 班级:_ 应用电子0601___ 姓名:___ *** _______ 指导老师:_ *** ***__

时间:5月1日

中文摘要: 加入世贸组织以后,中国会面临激烈的竞争。这种竞争将是一场科技实力、管理水平和人才素质的较量,风险和机遇共存,同时电子产品的研发日新月异,不仅是在通信技术方面数字化取代于模拟信号,就连我们的日常生活也进于让数字化取缔。说明数字时代已经到来,而且渗透于我们生活的方方面面。 就拿我们生活的实例来说明一下“数字”给我们带来的便捷。下面我们就以数字钟为例简单介绍一下。数字钟我们听到这几个字,第一反应就是我们所说的数字,不错数字钟就是以数字显示取代模拟表盘的钟表,在显示上它用数字反应出此时的时间,相比模拟钟能给人一种一目了然的感觉,不仅如此它还能同时显示时、分、秒。而且能对时、分、秒准确校时,这是普通钟所不及的。与此同时数字钟还能准确定时,在你所规定的时间里准确无误的想你发出报时声音,提醒你在此时所需要去做的事。与旧式钟表相比它更适用于现代人的生活。 在毕业之际恰好遇上学校的毕业课题电子时钟设计毕业论文。因而在所学专业的基础上做了以下毕业设计。希望给大家带来方便的同时,使自己对所学专业有进一步的了解! 目录 前言: (3) 1.设计目的 (5)

2.设计功能要求 (6) 3.电路设计 (6) 3.1设计方案 (6) 3.2单元电路的设计 (7) 3.2.1主体电路部分 (7) 3.2.1.1振荡电路 (8) 3.2.1.2 计数电路 (12) 3.2.1.3 校时电路 (17) 3.2.1.4译码与显示电路 (19) 3.2.2扩展功功能电路的设计 (21) 3.2.2.1定时控制电路 (21) 3.2.2.2 仿广播电台正点报时电路 (23) 3.2.2.3自动报整点时数电路 (24) 3.2.2.4触摸报整点时数电路 (25) 4.调试 (26) 4.1主体电路部分 (26) 4.2 扩展电路部分 (28) 5.总结 (30) 致谢 (31) 参考文献 (32) 附录 (33) 前言: 中国是世界上最早发明计时仪器的国家。有史料记载,汉武帝太初年间(纪元前104-101年)由落下闳创造了我国最早的表示天体运行的仪器——浑天仪。东汉时期(公元130年)张衡创造了水运浑天仪,为世界上

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 (2)系统框图。

系统方框图1 (3)系统组成。 1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。

5.显示模块:由7段数码管来起到显示作用,通过接受 CD4511的信号。本次选用的是共阴型的CD4511。 二、各部分电路原理。 1.秒发生器:555电路内部(图2-1)由运放和RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vcc>u11时运放输出为1,同理C2也一样。最终如图3接口就输出矩形波,而形成的秒脉冲。 图2-1 内部结构图 图2-2 555功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H到L或者从L到H都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

纯数字电路数字时钟原理图(免费)

做成时钟,并不难,把十进改成6进就行了如下: 1,震荡电路的电容用晶震,记时准确. 2, 时:用2块计数器,十位的用1和2(记时脚)两个脚. 分:用2块计数器,十位的用1,2,3,4,5,6,(记时脚)6个脚. 秒:同分. 评论:74系列的集成块不如40系列的,如:用CD4069产生震荡,CD4017记数,译码外加. 电压5V.比74LS160 74LS112 74LS00好的.而且CD4069外围元件及少.如有需要我可以做给你. 首先需要产生1hz的信号,一般采用CD4060对32768hz进行14分频得到2hz,然后再进行一次分频。(关于此类内容请参考数字电路书中同步计数器一章) (原文件名:4060.JPG)

一种分频电路: (原文件名:秒信号1.JPG) 采用cd4518进行第二次分频 另一种可以采用cd4040进行第二次分频第三种比较麻烦,是对1mhz进行的分频

(原文件名:秒信号2.JPG) 介绍一下cd4518: CD4518,该IC是一种同步加计数器,在一个封装中含有两个可互换二/十进制计数器,其功能引脚分别为1~7和9~{15}。该计数器是单路系列脉冲输入(1脚或2脚;9脚或10脚),4路BCD码信号输出(3脚~6脚;{11}脚~{14}脚)。此外还必须掌握其控制功能,否则无法工作。手册中给有控制功能的真值(又称功能表),即集成块的使用条件,如表2所示。从表2看出,CD4518有两个时钟输入端CP和EN,若用时钟上升沿触发,信号由CP输入,此时EN端应接高电平“1”,若用时钟下降沿触发,信号由EN端输入,此时CP端应接低电平“0”,不仅如此,清零(又称复位)端Cr也应保持低电平“0”,只有满足了这些条件时,电路才会处于计数状态,若不满足则IC不工作。计数时,其电路的输入输出状态如表3所示。值得注意,因表3输出是二/十进制的BCD码,所以输入端的记数脉冲到第十个时,电路自动复位0000状态(参看连载五)。另外,该CD4518无进位功能的引脚,但从表3看出,电路在第十个脉冲作用下,会自动复位,同时,第6脚或第{14}脚将输出下降沿的脉冲,利用该脉冲和EN端功能,就可作为计数的电路进位脉冲和进位功能端供多位数显用。

相关主题