搜档网
当前位置:搜档网 › 计算机组成原理教案

计算机组成原理教案

计算机组成原理教案
计算机组成原理教案

《计算机组成原理》课程教案

计算机科学系

本课程是计算机专业本科生的核心课程,是主干必修课。课程以阐述原理为主,讲述计算机系统及其各功能部件的工作原理以及逻辑实现,计算机系统及其各功能部件的设计原理以及并行处理技术。设置这一课程的目的是使学生掌握计算机的基本工作原理,掌握计算机各主要部件的硬件结构、相互联系和作用,掌握计算机系统的设计原理以及软硬件的界面,从而对整个计算机系统有完整的了解,为计算机专业的后继课程的学习打下基础。

一、本课程得主要内容

1、计算机系统概论

2、逻辑电路设计基础(复习及提高)

3、信息编码和数据表示

4、计算机算法和算法逻辑实现

5、存储器

6、计算机指令构成和寻址方式

7、处理机设计

8、流水线处理机

9、存储系统

10、输入输出设备

11、输入输出系统

二、本课程教学重点与难点

重点:信息编码和数据表示

控制器

存储系统

输入输出系统

三、教材选用

William Stallings《计算机组织与结构--性能设计(第五版)》.高等教育大学出版社,

四、参考教材:

主要参考书:

1、李亚明.《计算机组成与系统结构》.清华大学出版社.2001

2、王爱英.《计算机组成与结构》.清华大学出版社.1998

3、江义鹏.《计算机组成原理》.人民邮电出版社.1998

4、胡越明.《计算机组成和系统结构》.上海科学技术文献出版社.1999

5、俸远祯.《计算机组成原理》.电子工业出版社

6、李亚民.《计算机组成与系统结构》.清华大学出版社 2000年

7、袁开榜.《计算机组成原理》高等教育出版社

8、白中英、韩兆轩编.《计算机组成原理》.科学出版社

9、唐朔飞.《电子数字计算机原理》.哈尔滨工业大学出版社参考书:

10、邹海明.《计算机组织与结构》.电子工业出版社..1993年.版.

11、 John L. Hennessy and David A. Patterson, "Computer organization and design, the hardware/software interface", Morgan Kaufmann, Second Edition, 1998

12、John L. Hennessy and David A. Patterson, “Computer architecture:

a quantitative approach”, Morgan Kaufmann, Second Edition, 1996

13、Patterson and Hennessy, "Computer Organization & Design: the Hardware/Software Interface (2nd edition)",Morgan Kaufmann Publishers.

五、教学手段:投影片+版书

六、课程内容和学时分配

(整体安排按信息表示、信息处理、信息输出思路。)

第一章计算机系统概论

教学内容:

1、计算机系统的基本构成

2、计算机系统的层次结构

3、计算机系统结构、组成及其实现

4、计算机的性能评价

5、计算机发展简史

6、计算机的应用

基本要求:

通过本章的学习,要求了解整个计算机系统由硬件和软件两部分构成,其中硬件部分包括运算器、控制器、存储器、输入输出设备等五大功能部件构成。通过总线相互连成一个完整的硬件系统;软件部分包括系统软件、应用软件两大部分。通过对计算机层次结构的了解,明确计算机组成原理课程的任务和目的。了解计算机中的一些基本概念,包括性能指标、计算机发展简史以及计算机的应用。

教学重点:

1、计算机系统的基本构成

2、计算机系统的层次结构

3、计算机系统结构、组成及其实现

教学难点:计算机系统的层次结构、系统结构、组成及其实现的关系。明确计算机组成原理课程的任务和目的。

其它:

4、计算机的性能评价(字长、容量、速度、时间、MIPS)

5、计算机发展简史(ENIAC、冯氏计算机、其它自学)

6、计算机的应用(科学计算与数据处理的区别)

1.1 计算机的分类和应用

1.2 计算机的硬件

1.3 计算机的软件

1.4 计算机系统的层次结构

作业:

1.1 计算机的分类和应用

1.1.1 计算机的分类

计算机分类:

模拟:处理在时间和数值上连续的量

数字:处理离散的量

数字计算机分类:

专用计算机:如工控机、DSP、IOP等

通用计算机:GPP

通用机分类:

巨型机(Super-Computer)、大型机(Mainframe)、中型机(Medium-size Computer)、小型机(minicomputer)、微型机(microcomputer)、单片机(Single-Chip Computer)1.1.2 计算机的应用

科学计算

传统方式:工作量大、人工处理慢

自动控制:数控机床、流水线控制

测量和测试:提高精度、在恶劣条件下的测量

信息处理:

教育、卫生:计算机辅助教学(CAI)、多媒体教室、CT(Computerized tomography)

家电

人工智能

1.2 计算机的硬件

1.2.1 数字计算机的硬件组成

五大组成部分:运算器、控制器、存储器、输入/输出设备。

概念:存储单元、地址、存储容量、外存储器、内存储器、指令、程序、指令的组成、存储程序、程序控制、指令系统、指令周期、执行周期、CPU、主机、数据字、指令字、数据流、指令流、适配器。

冯?诺依曼体系结构:

(1) 采用二进制形式表示数据和指令

数据和指令在代码的外形上并无区别.都是由0和1组成的代码序列,只是各自约定的含义不同而已。采用二进制、使信息数字化容易实现,可以用二值逻辑工具进行处理。程序信息本身也可以作为被处理的对象,进行加工处理,例如对照程序进行编译,就是将源程序当作被加工处理的对象。

(2) 采用存储程序方式

这是诺依曼思想的核心内容。如前所述,它意味着事先编制程序,事先将程序(包含指令和数据)存入主存储器中,计算机在运行程序时就能自动地、连续地从存储器中依次取出指令且执行。这是计算机能高速自动运行的基础。计算机的工作体现为执行程序,计算机功能的扩展在很大程度上体现为所存储程序的扩展。计算机的许多具体工作方式也是由此派生的。

诺依曼机的这种工作方式,可称为控制流(指令流)驱动方式。即按照指令的执行序列,依次读取指令;根据指令所含的控制信息,调用数据进行处理。因此在执行程序的过程中,始终以控制信息流为驱动工作的因素,而数据信息流则是被动地被调用处理。为了控制指令序列的执行顺序,我们设置一个程序(指令)计数器PC(Program Counter),让它存放当前指令所在的存储单元的地址。如果程序现在是顺序执行的,每取出一条指令后PC内容加l,指示下一条指令该从何处取得。如果程序将转移到某处,就将转移后的地址送入PC,以便按新地址读取后继指令。所以,PC就像一个指针,一直指示着程序的执行进程,也就是指示控制流的形成。虽然程序与数据都采用二进制代码,仍可按照PC的内容作为地址读取指令,再按照指令给出的操作数地址去读取数据。由于多数情

况下程序是顺序执行的,所以大多数指令需要依次地紧挨着存放,除了个别即将使用的数据可以紧挨着指令存放外、一般将指令和数据分别存放在该程序区户的不同区域。(3) 由运算器、存储器、控制器、输入装置和输出装置等五大部件组成计算机系统,并规定了这五部分的基本功能。

上述这些概念奠定了现代计算机的基本结构思想,并开创了程序设计的新时代。到目前为止,绝大多数计算机仍沿用这一体制,称为诺依曼机体制。学习计算机工作原理也就从诺依曼概念入门。

传统的诺依曼机从本质上讲是采取串行顺序处理的工作机制,即使有关数据巳经准备好,也必须逐条执行指令序列;而提高计算机性能的根本方向之一是并行处理:因此,近年来人们在谋求突破传统诺依曼体制的束缚,这种努力被称为非诺依曼化。对所谓非诺依曼化的探讨仍在争议中,一般认为它表现在以下三个方面的努力。

在诺依曼体制范畴内,对传统诺依曼机进行改造,如采用多个处理部件形成流水处理,依靠时间上的重叠提高处理效率;又如组成阵列机结构,形成单指令流多数据流,提高处理速度。这些方向已比较成熟,成为标准结构。

用多个诺依曼机组成多机系统,支持并行算法结构。这方面的研究目前比较活跃。

从根本上改变诺依曼机的控制流驱动方式。例如,采用数据流驱动工作方式的数据流计算机,只要数据已经准备好,有关的指令就可并行池执行。这是真正非诺依曼化的计算机,它为并行处理开辟了新的前景,但由于控制的复杂性,仍处于实验探索之中。

1.2.2 计算机系统结构的过去和未来

发展:电子管→晶体管→集成电路→大规模、超大规模集成电路

趋势:

由于计算机网络和分布式计算机系统能为信息处理提供廉价的服务,因此计算机系统的进一步发展,“三网合一”,将进入以通信为中心的体系结构。

计算机智能化将进一步发展,各种知识库及人工智能技术将进一步普及,人们将用自然语言和机器对话。计算机从数值计算为主过渡到知识推理为主,从而使计算机进入知识处理阶段。

随着大规模集成电路的发展,不仅用多处理机技术来实现大型机系统功能,而且会出现计算机的动态结构,即所谓模块化计算机系统结构。

多媒体技术将有重大突破和发展,并在微处理机、计算机网络与通信等方面引起一次巨大变革。

1.3 计算机的软件

计算机硬件是载体,软件是灵魂。

1.3.1 软件的组成与分类

分类:系统程序、应用程序

1.3.2 软件的发展演变

手编程序(目的程序)→汇编程序→算法语言(高级语言)

高级语言与机器语言的转换:编译系统、解释系统

操作系统、数据库

1.4 计算机系统的层次结构

1.4.1 多级组成的计算机系统

图1.6五个级别:第一级微程序设计级、第二级是一般机器级、第三级是操作系统级、第四级是汇编语言级、第五级是高级语言级。

1.4.2 软件与硬件的逻辑等价性

补充:计算机的性能指标

基本字长

基本字长是指参与运算的数的基本位数,它标志着计算精度。位数越多,精度越高,但硬件成本也越高,因为它决定着寄存器、运算部件、数据总线等的位数。

主存容量

主存储器是CPU可以直接访问的存储器,需要执行的程序与需要处理的数据就放在主存之中。主存容量大则可以运行比较复杂的程序,并可存入大量信息,可利用更完善的软件支撑环境。所以,计算机处理能力的大小在很大程度上取决于主存容量的大小。

外存容量

外存容量一般是指计算机系统中联机运行的外存储器容量。由于操作系统、编译程序及众多的软件资源往往存放在外存之中,需用时再调入主存运行。在批处理、多道程序方式中,也常将各用户待执行的程序、数据以作业形式先放在外存中,再陆续调入主存运行。所以,联机外存容量也是一项重要指标,一般以字节数表示。

运算速度

同一台计算机,执行不同的运算所需时间可能不同,因而对运算速度的描述常采用不同方法。常用的有CPU时钟频率、每秒平均执行指令数(ips)、单独注明时间等。

所配置的外围设备及其性能指标

外围设备配置也是影响整个系统性能的重要因素,所以在系统技术说明中常给出允许配置情况与实际配置情况。

系统软件配置情况

作为一种硬件系统,允许配置的系统软件原则上是可以不断扩充的,但实际购买的某个系统究竟已配置哪些软件,则表明它的当前功能。

第二章运算方法和运算器

主要内容:

1、定点数加减法运算及电路实现

2、定点数乘除运算和电路实现

原码、补码,布斯算法,原码恢复余数、不恢复余数

3、快速乘除法运算技术和电路实现

布斯高基乘法,进位保存加法及其构成的乘法器,阵列乘法器,阵列除法器

4、浮点数四则运算以及实现

加减乘除

基本要求:

通过本章内容的学习,要求掌握计算机算法。加减乘除运算方法和运算器的构成,能按步骤进行原码和补码的加减乘除四则运算,能够按步骤进行浮点数的四则运算。

教学重点:

1、定点数加减法运算及电路实现

2、定点数乘除运算和电路实现

3、快速乘除法运算技术和电路实现

教学难点:原码、补码,布斯算法,原码恢复余数、不恢复余数布斯高基乘法

2.1 数据与文字的表示方法

2.2 定点加法、减法运算

2.3 定点乘法运算

2.4 定点除法运算

2.5 定点运算器的组成

2.6 浮点运算方法和浮点运算器

作业:

返回<<

2.1 数据与文字的表示方法

2.1.1 数据格式

在选择计算机的数的表示方式时,应当全面考虑以下几个因素:

要表示的数的类型(小数、整数、实数和复数):决定表示方式

可能遇到的数值范围:确定存储、处理能力

数值精确度:处理能力相关

数据存储和处理所需要的硬件代价:造价高低

两种常用格式:

定点格式:定点格式容许的数值范围有限,但要求的处理硬件比较简单; 浮点格式:容许的数值范围很大,但要求的处理硬件比较复杂。

1)定点数表示法

定点指小数点的位置固定,为了处理方便,一般分为定点纯整数和纯小数。

2)浮点数表示法

由于所需表示的数值取值范围相差十分悬殊,给存储和计算带来诸多不便,因此出现了浮点运算法。

浮点表示法,即小数点的位置是浮动的。其思想来源于科学计数法。

IEEE754的浮点数(比较特殊)

浮点数的规格化:主要解决同一浮点数表示形式的不唯一性问题。规定,否则尾数要进行左移或右移。

机器零的概念:尾数为0或是阶码值小于所能表示的最小数。

3)十进制数串的表示方法

由于人们对十进制比较熟悉,因此在计算机中要增加对十进制运算的支持。

两种方式:

将十进制数变为二进制数运算,输出时再由二进制变为十进制。

直接的十进制运算

直接运算的表示方法:

字符串形式:用于非数值计算领域

压缩的十进制数串:分为定长和不定长两种。需要相应的十进制运算器和指令支持。

4)自定义数据表示

标志符数据表示、描述符数据表示

区别:

标志符与每个数据相连,二者合起来存放在一个存储单元,而描述符要和数据分开存放;

描述符表示中,先访问描述符,后访问数据,至少增加一次访存;

描述符是程序的一部分,而不是数据的一部分。

2.1.2 数的机器码表示

真值(书写用)、机器码(机器内部使用)的概念

1)原码:比较自然的表示法,最高位表示符号,0为正,1为负。优点:简单易懂。缺点:加减法运算复杂。

2)补码:加减法运算方便,减法可以转换为加法。

定点小数的补码,公式

定点整数的补码,公式

3)反码:为计算补码方便而引入

计算公式,小数公式,整数公式

由反码求补码:符号位置1,各位取反,末位加1。

4)移码:用于阶码的表示,两个移码容易比较大小,便于对阶。

定义。即将数值向X轴正方向平移2n

2.1.3 字符与字符串的表示方式

ASCII码

2.1.4 汉字的表示方式

1)输入码:用于汉字输入

2)内码:用于汉字的存储

3)字模码:用于汉字的显示

2.1.5 校验码

奇、偶校验码

2.2 定点加法、减法运算

2.2.1 补码加法

加法公式

2.2.2 补码减法

加法公式

2.2.3 溢出概念与检测方法

溢出概念:上溢、下溢

检测方法:双符号位、单符号位

2.2.4 基本的二进制加法/减法器

进位处理方式:串行进位(行波进位)、并行进位

二进制加法/减法器,

2.2.5 十进制加法器

十进制加法/减法器

2.3 定点乘法运算

2.3.1 原码并行乘法

二进制乘法公式:公式

人工乘法过程与二进制乘法

乘法器分类:串行、并行。由于串行乘法速度太慢,已被淘汰。

不带符号的阵列乘法器

不带符号的阵列乘法器执行时间分析

带符号的阵列乘法器原理:

首先取补→不带符号乘法→结果取补

取补器电路图,

方法:从右向左找到第一个“1”,这个“1”向右,包括本身保持不变,向左都取反。取补器电路执行时间分析

2.3.2 补码并行乘法

补码与真值的转换公式,公式推导过程。

一般化的加法器:有负权输入的,即可以做减法的。

直接补码阵列除法器:节省了取补时间,大大的加快了乘法的速度。结构图见。

2.4 定点除法运算

2.4.1 原码除法算法原理

二进制除法公式:公式

余数处理的两种方法:

恢复余数法:运算步骤不确定,控制复杂,不适合计算机运算。

加减交替法:不恢复余数,运算步骤确定,适合计算机操作。

2.4.2 并行除法器

CAS的结构,,并行除法器结构。

并行除法器执行时间分析

2.5 定点运算器的组成

2.5.1 逻辑运算

逻辑数概念:不带符号的二进制数。

四种逻辑运算:逻辑非、逻辑加、逻辑乘、逻辑异

2.5.2 多功能算术/逻辑运算单元(ALU)

并行进位,行波进位加/减法器存在的两个问题:

运算时间长

行波进位加/减法器只能完成加法和减法,而不能完成逻辑操作

说明:

控制端M用来控制作算术运算还是逻辑运算,两种运算的区别在于是否对进位进行处理。M=0时,对进位无影响,为算术运算;M=1时,进位被封锁,为逻辑运算。

正逻辑中,“1”用高电平表示,“0”用低电平表示,而负逻辑刚好相反。

正逻辑与负逻辑的关系为,正逻辑的“与”到负逻辑中变为“或”,即+?互换。

的正负逻辑之间的转换可用上述规则实现。

先行进位的实现:公式

2.5.3 内部总线

总线分类:内部总线、外部总线(系统总线)、通信总线。

总线又可分为单向总线和双向总线。

带锁存器的总线可实现总线的复用。

2.5.4 定点运算器的基本结构

运算器包括ALU、阵列乘除器件、寄存器、多路开关、三态缓冲器、数据总线等逻辑部件。运算器的设计,主要是围绕着ALU和寄存器同数据总线之间如何传送操作数和运算结果而进行的。

运算器的三种结构形式:

单总线结构的运算器:这种结构的主要缺点是操作进度较慢,但控制电路比较简单。

双总线结构的运算器

三总线结构的运算器:三总线结构的运算器的特点是操作时间快

2.6 浮点运算方法和浮点运算器

2.6.1 浮点加法、减法运算

浮点加减法的规则,

运算步骤:0操作数检查→对阶→尾数加/减→规格化、舍入

注意:对阶时,小阶向大阶看齐,否则会丢失高有效位。规格化时,左规、右规是指尾数移动方向。

舍入处理的方法

2.6.2 浮点乘、除法运算

浮点乘、除法规则,

运算步骤:0操作数检查→阶码加/减→尾数乘/除→规格化、舍入

移码的加减运算规律,

2.6.3 浮点运算流水线

为了实现流水,首先必须把输入的任务分割成一系列的子任务,使各子任务能在流水线的各个阶段并发的执行。

对于流水线方式,某一个任务的总体运算时间并没有缩短,而是系统的整体运算时间缩短了。

流水线分类:

线性流水线:不带反馈线

非线性流水线:带反馈线

加速比的定义,

2.6.4 浮点运算器实例

第三章存储系统

主要内容:1、存储器概述

外部特性,性能参数,层次结构

2、静态存储器和动态存储器存储单元构成

一位存储单元及存储阵列,多端口SRAM,读写时序

3、半导体ROM存储器

MROM,PROM,EPROM,EEPROM,FLASH

4、存储器芯片构成以及存储器主要技术指标

5、存储器扩展技术

位、字、字位扩展

6、数据校验码

奇偶校验,海明码,CRC码

基本要求:

通过本章的学习,要求掌握存储器芯片的类型和各主要存储器芯片的工作原理;掌握扩展存储器容量的技术,能够用给定的存储器芯片按要求设计主存,从而深刻理解存储器的构成原理;掌握数据校验码。

教学重点:

外部特性,性能参数,存储器芯片构成以及存储器主要技术指标

存储器扩展技术;技术指标,位、字、字位扩展,海明码,CRC码

3.1 存储器概述

3.2 随机读写存储器

3.3 只读存储器和闪速存储器

3.4 高速存储器

3.5 Cache存储器

3.6 虚拟存储器

3.7 存储保护

作业:

3.1 存储器概述

3.1.1 存储器分类

存储器是计算机系统中的记忆设备,用来存放程序和数据。

概念:存储位(存储元)、存储单元、存储器。

存储器分类方式:

按存储介质分

按存取方式分

按存储器的读写功能分

按信息的可保存性分

按在计算机系统中的作用分

3.1.2 存储器的分级结构

理想的存储器应该是容量大、速度高、成本低,但现实中没有这样的存储器,因此就要使用分级存储体系。

三个层次:(寄存器)→Cache→主存→辅存,按照这个顺序速度递减,容量大,成本低。

3.1.3 主存储器的技术指标

存储器编址方式:按字编址、按字节编址。

在一个存储器中可以容纳的存储单元总数通常称为该存储器的存储容量。

存取时间又称存储器访问时间,是指从启动一次存储器操作到完成该操作所经历的时间。

存储周期是指连续启动两次独立的存储器操作(如连续两次读操作)所需间隔的最小时间。通常,存储周期略大于存取时间。

存储器带宽是单位时间里存储器所存取的信息量,单位为位/秒或字节/秒。

3.2 随机读写存储器

常用的RAM按半导体材料分有双极型(TTL)半导体存储器和金属氧化物(MOS)半导体存储器两种。

根据存储信息机构的原理不同,又分为静态MOS存储器(SRAM)和动态MOS存储器(DRAM)。半导体存储器的主要优点是存取速度快,存储体积小,可靠性高,价格低廉;

主要缺点是断电时读写存储器不能保存信息.

3.2.1 SRAM存储器

存储元的结构图。三种操作:保持、读出、写入。

SRAM存储器的组成,包括存储体、地址译码器、驱动器、I/O电路、片选与读/写控制电路、输出驱动电路。

SRAM与CPU的连接:位扩展法、字扩展法。要求掌握。

SRAM的读写周期,,注意,地址、数据以及控制信号的先后顺序。

3.2.2 DRAM存储器

SRAM的外围电路简单,速度快,但其使用的器件多,集成度不高。DRAM则可大幅度提高集成度。

四管DRAM和单管DRAM的结构

DRAM的操作:读、写、刷新。

DRAM的实例

DRAM的刷新方式:集中式、分散式、异步式。

DRAM的控制电路,主要包括刷新计数器、刷新/访存裁决、刷新控制逻辑等。

DRAM控制器的组成:地址多路开关、刷新定时器、刷新地址计数器、仲裁电路、定时发生器。

3.2.3 主存储器组成实例

3.2.4 高性能的主存储器

EDRAM的特点,在DRAM芯片上集成了一个小容量的SRAM作为Cache。

3.3 只读存储器和闪速存储器

3.3.1 只读存储器

ROM的工作方式:给定一个地址码,得到事先存入的确定数据。

ROM的优点:具有不易失性,即是电源被切断,ROM的信息也不会丢失。而使用SRAM进行存储,需要有电池等设备。

ROM的分类:

掩模式只读存储器:优点:可靠性高,集成度高,价格便宜。缺点:不能重写。

一次编程只读存储器:分为PN结击穿型和熔丝烧断型两种。

第一种写入原理属于结破坏型,即在行列线交点处制作一对彼此反向的二级管,它们由于反向而不能导通,称为0。若该位需要写入1,则在相应行列线之间加较高电压,将反偏的一只二极管永久性击穿,留下正向可导通的一只二极管,称为写入1。显然这是不可逆转的。

更常用的一种写入原理属于熔丝型,制造时在行列交点处连接一段熔丝,即易熔材料称为存入0。若该位需写入1,则让它通过较大电流,使熔丝熔断。显然这也是不可逆转的。

多次编程只读存储器:分为EPROM、EEPROM、FLASH ROM

EPROM原理:

EPROM实例:表3.2 2716的工作模式

例3为一计算机存储器的典型配置,包括ROM和RAM,要求掌握其逻辑结构图的画法。

3.3.2 闪速存储器

闪速存储器的存储元电路是在CMOS单晶体管EPROM存储元基础上制造的,因此它具有非易失性。不同的是,EPROM通过紫外光照射进行擦除,而闪速存储器则是在EPROM沟道氧化物处理工艺中特别实施了电擦除和编程次数能力的设计。

闪速存储器的性能:

闪速存储器的特点:

固有的非易失性

廉价的高密度

可直接执行

固态性能

闪速存储器的逻辑结构,包含一个指令寄存器,用于擦除和重写。

闪速存储器工作模式

闪速存储器与CPU的连接较为简单。

3.4 高速存储器

传统存储器的问题:速度慢,和CPU的速度不匹配,原因如下:

CPU和主存储器是用不同的材料制成的

一个CPU周期可能需要几个存储器字

这种情况便成为限制高速计算的主要问题,解决方法有:

主存储器采用更高速的技术来缩短存储器的读出时间,或加长存储器的字长

采用并行操作的双端口存储器

在CPU和主存储器之间插入一个高速缓冲存储器(cache),以缩短读出时间 在每个存储器周期中存取几个字

3.4.1 双端口存储器

双端口存储器的典型实例是显示存储器(显存),CPU向显存的一个端口中写入数据,显示控制器从另一个端口中读出数据送显示器。

图3.27是双端口存储器的逻辑结构框图,图中两个端口共享同一存储矩阵,但其地址、数据和控制信号都是独立的。

当两个端口的地址不相同时,在两个端口上进行读写操作,则不会发生冲突。当两个端口同时存取存储器同一存储单元时,便发生读写冲突。此时,由判断逻辑来决定哪一个端口进行读写操作。判断的方法有两种:

(1) 如果地址匹配且在之前有效,片上的控制逻辑在和之间进行判断来选择端口(判断)。

(2) 如果在地址匹配之前变低,片上的控制逻辑在左、右地址间进行判断来选择端口(地址有效判断)。

3.4.2 多模块交叉存储器

多模块存储器的两种编址方式:顺序方式和交叉方式

顺序方式的可靠性高,可扩展性好,但其是串行工作,存储器的带宽受限制。

交叉方式的存储器可以实现多模块流水式并行存取,大大提高存储器的带宽。由于CPU 的速度比存储器快,假如我们能同时从存储器取出M条指令,这必然会提高机器的运行

速度。多体交叉存储器就是基于这种思想提出来的。

存储器地址交叉的方式:采用模除的方法,即二进制地址的低位表示该单元所在的模块。多模块交叉存储器存取时间的定量分析:公式,公式。

在二模块交叉存储器实例中,主存储器按字节编址,而不是按字编址。

注意四个字节允许信号。

3.4.3 相联存储器

相联存储器的特点:按内容寻址

相联存储器的组成:

在计算机系统中,相联存储器主要用于虚拟存储器中存放分段表、页表和快表;在高速缓冲存储器中,相联存储器作为存放从主存调入快存的页面单元地址之用。这是因为,在这两种应用中,都需要快速查找。具体可参考下节内容:Cache存储器。

3.5 Cache存储器

3.5.1 Cache基本原理

Cache是为了解决CPU和主存之间速度匹配问题而采用的一项重要技术。它的存取速度要比主存快,由高速的SRAM组成,全部功能由硬件实现,保证了其高速度。Cache与存储系统的关系见。

Cache除了有SRAM外,还要有控制逻辑。

注意:Cache与主存之间数据交换的单位是“块”。

Cache的基本操作方式:CPU首先在Cache中进行比较(可使用相联存储器),若Cache 中有要访问的数据,则无需访存,若没有在进行主存读写,同时,把该数据所在的块复制到Cache中。

Cache的这种操作是基于程序执行的局部性原理,程序的局部性包括时间局部性、空间局部性等,例如,循环程序。具体内容可参考操作系统中的存储管理。

几个计算公式:命中率的计算,存储系统的平均访问时间,访问效率。

3.5.2 主存与Cache的地址映射

由于Cache比主存小的多,因此必须使用一种机制将主存地址定位到Cache中,即地址映射。这个映射过程全部由硬件实现,对程序员透明。

映射的三种方式:

全相联:灵活,不易产生冲突。其缺点是比较电路难于实现,且效率低,速度慢。

直接映射:硬件简单,成本低,但容易产生冲突,不能有效利用Cache空间。

组相联:结合上面两种的优点。

3.5.3 替换策略

当使用全相联和组相联方式时,就会使用到替换策略或是替换算法。

较为简单的替换算法有FIFO,但其效果不是很好,不符合程序的局部性原则,经常出现所谓的“颠簸”现象。

常用的替换算法有如下三种:

最不经常使用(LFU)算法:这种算法将计数周期限定在对这些特定行两次替换之间的时间间隔内,因而不能严格反映近期访问情况。没有考虑对新调入行的处理,因为新调入行的计数值小,容易被替换出去。

近期最少使用(LRU)算法:目前使用较多的一种策略,能够有效的提高命

中率。

随机替换:硬件上容易实现并且速度快,虽然表面看起来算法比较随意,但实际模拟显示,其性能还是不错的。

3.5.4 Cache的写操作策略

即Cache的数据一致性维护策略。主要有三种方式:

写回法:优点是速度快,缺点是存在数据不一致隐患。

全写法:优点是数据不会出现不一致,缺点是对写操作没有高速缓存的作用。

写一次法:上述两种方法的结合,主要用于多个Cache数据不一致的维护,具体策略可参考体系结构的相关内容。

3.5.5 奔腾PC机的Cache

3.6 虚拟存储器

虚拟存储器属于操作系统中存储管理的内容,因此,其大部分功能由软件实现。3.6.1 虚拟存储器的基本概念

虚拟存储器是一个逻辑模型,并不是一个实际的物理存储器。

虚拟存储器不仅解决了存储容量和存取速度之间的矛盾,而且也是管理存储设备的有效方法。有了虚拟存储器,用户无需考虑所编程序在主存中是否放得下或放在什么位置等问题。

虚拟地址、虚拟地址空间的定义,物理地址、物理地址空间的定义。

虚拟存储器和Cache都是基于程序局部性原理,它们的相同点在于:

1)把程序中最近常用的部分驻留在高速度的存储器中。

2)一旦这部分变的不常用了,把它们送回到低速的存储器中。

3)这种换入、换出操作是由硬件或是OS完成,对用户透明。

4)力图使存储系统的性能接近高速存储器,价格接近低速存储器。

两者的不同点在于:

1) Cache用硬件实现,对操作系统透明,而虚拟存储器是用软件、硬件相结合组成。2)虚拟存储器对未命中更加明感。

主存-外存的基本信息传送单位有:

段是利用程序的模块化性质,按照程序的逻辑结构划分成的多个相对独立部分。把段作为基本信息单位在主存-外存之间传送和定位是比较合理的。把主存按段分配的存储管理方式称为段式管理。

优点:段的分界与程序的自然分界相对应;段的逻辑独立性使它易于编译、管理、修改和保护。也便于多进程序共享;某些类型的段(堆栈、队列)具有动态可变长度,允许自由调度以便有效利用主存空间。

缺点:因为段的长度各不相同,段的起点和终点不定.给主存空间分配带来麻烦。而且容易在段间留下许多空余的零碎存储空间不好利用,造成浪费。

页式管理系统的基本信息传送单位是定长的页。主存的物理空间被划分为等长的固定区域,称为页面。

优点:页面的起点相终点地址是固定的,给造页表带来了方便。新页调入主存也很容易掌握,只要有空白页面就可容纳。比段式管理系统的段外空间浪费要小得多。

缺点:由于页不是逻辑上独立的实体,所以处理、保护和共享都不及段式来得方便。

段式存储和页式存储管理各有其优缺点,可以采用分段和分页结合的段页式存储管理系统。程序按模块分段,段内再分页,进入主存仍以页为基本信息传送单位。用段表和页表(每段一个页表)进行两级定位管理。

3.6.2 页式虚拟存储器

逻辑页、物理页的概念。

虚拟地址到主存实地址的变换是由放在主存的页表来实现。在页表中,对应每一个虚拟逻辑页号有一个表目,表目内容至少要包含该逻辑页所在的主存页面地址(物理页号),用它作为实(主)存地址的高字段,与虚存地址的页内行地址字段相拼接,就产生了完整的实主存地址,据此访问主存。

页式虚拟存储器的地址变换见图3.42。

通常,在页表的表项中还包括装入位(有效位)、修改位、替换控制位及其他保护位等组成的控制字段。

为了提高查询页表的速度,可使用高速存储器或是相联存储器作为快表,也可以采用快表、慢表相结合的方法。

快表、慢表法的地址变换。

3.6.3 段式虚拟存储器

虚拟地址由段号和段内地址组成,地址变换需要一个段表,具体方式。

3.6.4 段页式虚拟存储器

基本原则:段页式虚拟存储器是段式虚拟存储器和页式虚拟存储器的结合。在这种方式中,把程序按逻辑单位分段以后,再把每段分成固定大小的页。程序对主存的调入调出是按页面进行的,但它又可以按段实现共享和保护。因此,它可以兼备页式和段式系统的优点。其缺点是在地址映象过程中需要多次查表。在段页式虚拟存储系统中,每道程序是通过一个段表和一组页表来进行定位的。段表中的每个表目对应一个段,每个表目有一个指向该段的页表起始地址(页号)及该段的控制保护信息。由页表指明该段各页在主存中的位置以及是否已装入、已修改等状态信息。

段页式管理的地址变换方法见例6。

层次页表:当一个页表的大小超过一个页面的大小时,页表就可能分成几个页,分存于几个不连续的主存页面中,然后,将这些页表的起始地址又放入一个新页表中。这样,就形成了二级页表层次。一个大的程序可能需要多级页表层次。

3.6.5 替换算法

与Cache相似,虚拟存储器也需要使用到替换算法。方法基本与Cache的相同,但也有不同之处,主要体现在:

对缺页(及未命中)更为明感

页面替换由软件(OS)完成

页面替换的选择余地大

具体算法有FIFO、LRU和LRU+FIFO。

3.6.6 虚拟存储器实例

奔腾机的三种虚地址模式:分段不分页、分段分页、不分段分页。

保护模式下的分页地址转换方式。

3.7 存储保护

进行存储保护的原因:当多个用户共享主存时,就有多个用户程序和系统软件存于主存中。为使系统能正常工作,应防止由于一个用户程序出错而破坏其他用户的程序和系统软件,还要防止一个用户程序不合法地访问不是分配给它的主存区域。为此,系统应提供存储保护。

通常采用的方法是:存储区域保护和访问方式保护。

3.7.1 存储区域保护

不是虚拟存储器的主存系统中,可采用界限寄存器方式。

在虚拟存储器中,有如下方法:

页表、段表保护:用于地址变换之前,防止形成错误的物理地址。用虚存页面到实存的转换和限制段长的方法。

键保护:用于形成物理地址之后,防止非法访问。使用存储键和访问键法。 环保护:保护自身程序不被非法执行。使用分层访问原则。

3.7.2 访问方式保护

对数据信息的保护,主要使用访问方式控制。

作业:

第四章指令系统

主要内容:1、指令系统概述

2、指令构成与指令编码

操作码、地址码

定长指令和不定长指令格式,定长操作码,操作码扩展技术

3、操作数存储及寻址方式

操作数类型,边界对齐,大数端、小数端,常见的寻址方式以及实例

4、指令系统

指令类型,五类基本指令,RISC技术

基本要求:

通过本章的学习,要求掌握指令中包含的各种信息,数据在存储器中的存放,了解常用指令以及指令格式,深入理解常用的寻址方法以及用途。了解常用指令的种类和功能。了解CISC和RISC系统的概念和特征。

教学重点:1、指令构成与指令编码

2、操作数存储及寻址方式

教学难点:寻址方式

4.1 指令系统的发展与性能要求

4.2 指令格式

4.3 指令和数据的寻址方式

4.4 堆栈寻址方式

4.5 典型指令

作业:

4.1 指令系统的发展与性能要求

4.1.1 指令系统的发展

计算机的程序是由一系列的机器指令组成的。

指令就是要计算机执行某种操作的命令。从计算机组成的层次结构来说,计算机的指令有微指令、机器指令和宏指令之分:

微指令是微程序级的命令,它属于硬件;

宏指令是由苦干条机器指令组成的软件指令,它属于软件;

机器指令则介于微指令与宏指令之间,通常简称为指令。每一条指令可完成一个独立的算术运算或逻辑运算操作。本章所讨论的指令,是机器指令。

一台计算机中所有机器指令的集合,称为这台计算机的指令系统。指令系统是表征一台计算机性能的重要因素,它的格式与功能不仅直接影响到机器的硬件结构,而且也直接影响到系统软件,影响到机器的适用范围。

系列计算机,是指基本指令系统相同、基本体系结构相同的一系列计算机。其必要条件是同一系列的各机种有共同的指令集.而且新推出的机种指令系统一定包含所有旧机种的全部指令,即实现一个“向上兼容”。因此旧机种上运行的各种软件可以不加任何修改便可在新机种上运行,大大减少了软件开发费用。系列机解决了各机种的软件兼容问题

复杂指令系统计算机(CISC)和精简指令系统计算机(RISC)的概念。

4.1.2 对指令系统性能的要求

一个完善的指令系统应满足如下四方面的要求:

1)完备性是指用汇编语言编写各种程序时,指令系统直接提供的指令足够使用,而不必用软件来实现。完备性要求指令系统丰富、功能齐全、使用方便。

2)有效性是指利用该指令系统所编写的程序能够高效率地运行。高效率主要表现在程序占据存储空间小、执行速度快。

3)规整性包括指令系统的对称性、匀齐性、指令格式和数据格式的一致性。

对称性是指:在指令系统中所有的寄存器和存储器单元都可同等对待,所有的指令都可使用各种寻址方式;

匀齐性是指:一种操作性质的指令可以支持各种数据类型;

指令格式和数据格式的一致性是指:指令长度和数据长度有一定的关系,以方便处理和存取。

4)兼容性:至少要能做到“向上兼容”,即低档机上运行的软件可以在高档机上运行。

4.1.3 低级语言与硬件结构的关系

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理期末试题及答案

第一章计算机系统概论 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。早起将运算器和控制器合在一起称为CPU(中央处理器)。目前的CPU包含了存储器,因此称为中央处理器。存储程序并按地址顺序执行,这是冯·诺依曼型计算机的工作原理,也是CPU自动工作的关键。 计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序级、一般程序级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 习题:4冯·诺依曼型计算机的主要设计思想是什么它包括那些主要组成部分 主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5什么是存储容量什么是单元地址什么是数据字什么是指令字 存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字 7指令和数据均存放在内存中,计算机如何区分它们是指令还是数据 每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序 第二章运算方法和运算器

按 对阶操作。 直接使用西文标准键盘输入汉字,进行处理,并显示打印汉字,是一项重大成就。为此要解决汉字的输入编码、汉字内码、子模码等三种不同用途的编码。 1第三章内部存储器 CPU能直接访问内存(cache、主 存) 双端口存储器和多模块交叉存储器属于并行存储器结构。 cache是一种高速缓冲存储器,是为了解决CPU和主存之间速度不匹配而采用的一项重要的硬件技术,并且发展为多级cache体系,指令cache与数据cache分设体 系。要求cache的命中率接近于1 适度地兼顾了二者的优点又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想,因而得到了普遍采用。 习题:1设有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息

计算机组成原理复习要点(复习必过)

计算机组成原理复习要点 题型分布 选择题20分;填空题30分;判断题10分;计算题20/25分;简答题20/15分 第一章概述 1、什么是计算机组成 每章重点内容 输入设备 运算器- f 1 存储器卜 t地1址 输出设备 物理组成 计 算 机 组 成 逻辑组成 设备级组成 版块级组成w芯片 级组成 元件级组成 设备级组成 寄存器级组成 2、诺依曼体系结构计算机的特点 (1)硬件由五大部份组成(运算器、控制器、存储器、输入设备、输出设备) 三扌空希I」鋼二

(3)米用存储程序 所有的程序预先存放在存储器中,此为计算机高速自动的基础; 存储器采用一维线性结构;指令米用串行执行方式。 控制流(指令流)驱动方式; (4)非诺依曼体系结构计算机 数据流计算机 多核(芯)处理机的计算机 3、计算机系统的层次结构 (1)从软、硬件组成角度划分层次结构 操作系统圾 偿统机器级 系统分折级 用户程序级 骰程宇控制器厂睫程庠级 (2)从语言功能角度划分的层次结构 虚拟机:通过软件配置扩充机器功能后,所形成的计算机,实际硬件并不具备相应语言的功能。 第二章数据表示 1、各种码制间的转换及定点小数和定点整数的表示范围 (1)原码: 计算规则:最高位表示符号位;其余有效值部分以2#的绝对值表示。如: (+0.1011)原=0.1011; (-0.1001)原=1.1001 (+1011)原=01011; (-1001 )原=11001 注意:在书面表示中须写出小数点,实际上在计算机中并不表示和存储小数点。原码的数学定义 若定点小数原码序列为X0.X1X2...Xn共n+1位数,贝 X 原=X 当1 >X > 0 X 原=1-X=1+|x| 当0》X>-1 若定点整数原码序列为X0X1X2...Xn共n+1位数,贝 X 原=X 当2n >X > 0 X 原=2n-X=2n+|x| 当0》X>-2n 说明: 在各种码制(包括原码)的表示中需注意表示位数的约定,即不同的位数表示结 果不同,如:

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

计算机组成原理知识点总结——详细版

计算机组成原理2009年12月期末考试复习大纲 第一章 1.计算机软件的分类。 P11 计算机软件一般分为两大类:一类叫系统程序,一类叫应用程序。 2.源程序转换到目标程序的方法。 P12 源程序是用算法语言编写的程序。 目标程序(目的程序)是用机器语言书写的程序。 源程序转换到目标程序的方法一种是通过编译程序把源程序翻译成目的程序,另一种是通过解释程序解释执行。 3.怎样理解软件和硬件的逻辑等价性。 P14 因为任何操作可以有软件来实现,也可以由硬件来实现;任何指令的执行可以由硬件完成,也可以由软件来完成。对于某一机器功能采用硬件方案还是软件方案,取决于器件价格,速度,可靠性,存储容量等因素。因此,软件和硬件之间具有逻辑等价性。 第二章 1.定点数和浮点数的表示方法。 P16 定点数通常为纯小数或纯整数。 X=XnXn-1…..X1X0 Xn为符号位,0表示正数,1表示负数。其余位数代表它的量值。 纯小数表示范围0≤|X|≤1-2-n 纯整数表示范围0≤|X|≤2n -1

浮点数:一个十进制浮点数N=10E.M。一个任意进制浮点数N=R E.M 其中M称为浮点数的尾数,是一个纯小数。E称为浮点数的指数,是一个整数。 比例因子的基数R=2对二进制计数的机器是一个常数。 做题时请注意题目的要求是否是采用IEEE754标准来表示的浮点数。 32位浮点数S(31)E(30-23)M(22-0) 64位浮点数S(63)E(62-52)M(51-0) S是浮点数的符号位0正1负。E是阶码,采用移码方法来表示正负指数。 M为尾数。P18 P18

2.数据的原码、反码和补码之间的转换。数据零的三种机器码的表示方法。 P21 一个正整数,当用原码、反码、补码表示时,符号位都固定为0,用二进制表示的数位值都相同,既三种表示方法完全一样。 一个负整数,当用原码、反码、补码表示时,符号位都固定为1,用二进制表示的数位值都不相同,表示方法。 1.原码符号位为1不变,整数的每一位二进制数位求反得到反码; 2.反码符号位为1不变,反码数值位最低位加1,得到补码。 例:x= (+122)10=(+1111010)2原码、反码、补码均为01111010 Y=(-122)10=(-1111010)2原码11111010、反码10000101、补码10000110 +0 原码00000000、反码00000000、补码00000000 -0 原码10000000、反码11111111、补码10000000 3.定点数和浮点数的加、减法运算:公式的运用、溢出的判断。 P63 已知x和y,用变形补码计算x+y,同时指出结果是否溢出。 (1)x=11011 y=00011 (2)x=11011 y=-10101 (3)x=-10110 y=-00001

计算机组成原理试题集

1.数字电子计算机的主要特点是存储容量大、(运算速度快),(运算精度高)。 2.计算机各组成部件相互连接方式,从早期的以(存储器)为中心,发展到现在以(运算器)为中心。 3.指令寄存器寄存的是(C ) A、下一条要执行的指令 B、已执行完了的指令 C 、正在执行的指令D、要转移的指令 4.衡量计算机的性能指标主要有哪些(答主要的三项指标),并说明为什么? 解:衡量计算机性能的指标主要有:计算速度、存储容量和通讯带宽等,计算机速度是反映CPU性能,也是反映计算机能力的主要指标之一。存储容量反映出计算机可以处理的数据量空间的大小。带宽反映出计算机处理信息的通讯能力。 5,决定指令执行顺序的寄存器是(PC),而记录指令执行结果的状态的寄存器是(状态字寄存器) 6.最早提出“存储程序程序”概念的是(A ) A、Babbage B、V.Neumann C、Pascal D、Bell 7.如何理解计算机组成和计算机体系结构? 8.第一台电子计算机(ENIAC)是于1946年交付使用。 9.单地址指令中为了实现两个数的算术运算,除地址码指明的一个操作数外,另一个采用(隐含)寻址方法。 10.假定指令系统有m条指令,指令操作码的位数为N位,则N至少应当等于()。 11.用n+1位字长(含一位符号位)表示原码定点整数时,所能表示的数值范围是(0﹤﹦N );用n+1位字长(含一位符号位)表示原码定点小数时,所能表示的数值范围是() 1. CPU包括()两部分。 A、ALU和累加器 B、ALU和控制器 C、运算器和控制器 D、ALU和主存储器 C 2. 计算机运算速度的单位是()。 A、MTBF B、MIPS C、MHZ D、MB B 3. 若十六进数微AC.B,则其十进制数为()。 A、254.54 B、2763 C、172.6875 D、172.625 C 4. 若十进制数据为137.5则其八进制数为()。 A、89.8 B、211.4 C、211.5 D、1011111.101

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.______可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指______。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用______,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相 同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是______。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是______。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址 D.以上都不对。 9.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。 10.将微程序存储在EPROM中的控制器是______控制器。 A.静态微程序; B.毫微程序; C.动态微程序; D.微程序。 11.隐指令是指______。 A.操作数隐含在操作码中的指令; B.在一个机器周期里完成全部操作的指令; C.指令系统中已有的指令;

计算机组成原理试题

计算机组成原理试题(A) 教学中心名称考点成绩 专业、班级姓名学号 一、填空题(每空1分,共10分) 1.计算机中的信息可分为两类,它们是信息和信息。 2.第二代电子数字计算机所用的基本器件是。 3.设X=-9/16,[X]补= 。 4.运算器中的核心部件是。 5.浮点表示法中,阶码决定浮点数的,尾数决定浮点数的。 6.CPU中PC的主要功能是。 7.按照信息的传送格式,接口可分为和两大类。 二、选择题(每小题2分,共20分) 1. 某主存储器按字节编址,地址线数目为16,这个存储器的容量为 . A 16K×16位B.32K×8位、C.64K ×8位 2.采用DMA方式传送数据时,每传送一个数据就要占用的时间。 A一个指令周期B.一个存储周期C.一个机器周期 3. Cache是。 A.主存的一部分 B.为扩大存储容量而设置的 C.为提高存储系统的速度而设置的 4.操作控制器的功能是。 A产生操作控制信号,以解释并执行指令 B、产生时序信号C.对指令泽码 5.中断响应时,保存PC并更新PC的内容,主要是为了. A.提高处理机的速度 B.能进入中断处理程字并能正确返回原程序 C.便于编制中断处理程序 6.计算机辅助设计是指。 A.CAD B.CAI C.CAT 7.某机字长32位,内存容量为4MW,若按字节编址,其寻址范围为. A.0~4M B。0~16M C.0~32M 8.在磁盘存储器中,与转速无关的技术指标是。 A.存储密度B.平均等待时间C.数据传输率 9.设指令中的形式地址为以相对寻址时,操作数的有效地址E=. A.(D)B.(PC)+D C.(R)+D

10.计算机中,执行部件接控制部件的命令所作的不可再分的操作称为. A.微命令B.微操作C操作 三.判断改错题(每小题2分,共10分。正确,在括号内打√;错误,则打×并更正) 1.磁盘存储器是一种随机存取存储器。() 2.零地址指令就是没有操作数的指令。() 3.时序发生器是控制器的主要部件之一。() 4.设X=10110110,采奇校验时,其校验位C=1。() 5.中断处理过程中,保存现场必须在中断服务之后进行。() 四.简答题(每小题10分,共40分) 1.CPU由哪些主要部件组成?说明各部件的作用。 2.试述高速缓冲存储器的基本设计思想和特点。 3.主机与外部设备间为什么要设置接口? 4.为什么说取指令是公操作?在取指令阶段,CPU主要完成哪些操作? 五.计算题(共10 分) 1.设X=0.0101,Y=-0.1101,用双符号补码计算X+Y=?和X-Y=?并判断其结果是否溢出。(5分) 2. 设X=8C3E(H),Y=B6DF(H),Z=54D2(H)。求X∧Y⊕Z=? (5分) 七.设计题(10分) 某机字长16 位,主存按字编址,容量为8MW,请用如下RAM芯片为该机设计一个主存。 A A0 07 1.地址线和数据线各有多少根? 2.共用多少这种芯片? 3.画出其组成框图,并正确标出各信号线。

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计算机组成原理期末试题

1.刷新存储器的重要性能指标是它的带宽。若显示工作方式采用分辨率为1024*768,颜色深度24位,帧频(刷新速度)为72Hz,求: 1)刷新存储器的容量是多少 2)刷新存储器的带宽是多少 1)刷新存储器的容量= 1024*768 * 24bit= 2)帧频(刷新速度)为72Hz指的是:每秒钟读72次, 所以,刷新存储器的带宽=1024*768 * 24bit *72 次/秒=162MB/s 2.试推导磁盘存储器读写一块信息所需要的总时间 读写一块总时间TB=平均找道时间Ts+平均等待时间Tw +读写传输时间Tt 读写一块总时间TB=平均找道时间+平均等待时间+读写传输时间=Ts+Tw+Tt 1)Tw 设磁盘每秒r转,每条磁道N个字,则数据传输率=rN个字/秒 转一周的时间=1/r,所以 Tw =1/2*(1/r)=1/(2r) 2)Tt 又设每块的字数是n,一旦读写头定位在该块,则Tt≈n/(rN)秒 所以TB=Ts+ 1/(2r)+ n/(rN) 秒 3.采用串行接口进行7位ASCII码传送,带有一位奇偶校验位、一位起始位和一位停止位,当波特9600波特时,字符传送率是 9600波特=9600bit/秒 =9600 bit*(1字符/10bit)/秒 =960字符/秒 4.某总线在一个总线周期中并行传送8个字节的信息,设一个总线周期等于一个总线时钟周期,总线时钟频率为70MHz,求总线带宽 Dr=8字节/T秒=8*70*10^6≈420MB/秒 5.某机器CPU中有16个寄存器,运行某中断处理程序时,仅用到其中的2个寄存器,请问响应中断而进入该中断处理程序时是否将通用寄存器内容保存到主存中去需保存几个寄存器 要将通用寄存器内容保存到主存中去。 只要保存中断处理程序用到的那2个寄存器的内容。 1.已知cache的存储周期是40ns,主存存储周期200ns, cache/主存系统平均50ns,求cache的命中率访问n个字,设命中率为H cache/主存系统的平均访问时间 =命中cache的时间+不命中cache的主存访问时间 =H*Tc+(1-H)*Tm =H*40+(1-H)*200 =50

计算机组成原理重点整理

一.冯·诺依曼计算机的特点 1945年,数学家冯诺依曼研究EDVAC 机时提出了“存储程序”的概念1.计算机由运算器、存储器、控制器、输入设备和输出设备五大部件组成2.指令和数据以同等地位存放于存储器内,并可按地址寻访。3.指令和数据均用二进制数表示。 4.指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置。 5.指令在存储器内按顺序存放。通常,指令是顺序执行的,在特定条件下,可根据运算结果或根据设定的条件改变执行顺序。 6.机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成。二.计算机硬件框图 1. 冯诺依曼计算机是以运算器为中心的 2. 现代计算机转化为以存储器为中心 各部件功能: 1.运算器用来完成算术运算和逻辑运算,并将运算的中间结果暂存在运算器内。 2.存储器用来存放数据和程序。 3.控制器用来控制、指挥程序和数据的输入、运行以及处理运算结果 4.输入设备用来将人们熟悉的信息形式转换为机器能识别的信息形式(鼠标键盘)。 5.输出设备可将机器运算结果转换为人们熟悉的信息形式(打印机 显示屏)。计算机五大子系统在控制器的统一指挥下,有条不紊地自动工作。 由于运算器和控制器在逻辑关系和电路结构上联系十分紧密,尤其在大规模集成电路制作工艺出现后,两大不见往往集成在同一芯片上,合起来统称为中央处理器(CPU )。把输入设备与输出设备简称为I/O 设备。

现代计算机可认为由三大部分组成:CPU 、I/O 设备及主存储器。CPU 与主存储器合起来又可称为主机,I/O 设备又可称为外部设备。主存储器是存储器子系统中的一类,用来存放程序和数据,可以直接与CPU 交换信息。另一类称为辅助存储器,简称辅存,又称外村。算术逻辑单元简称算逻部件,用来完成算术逻辑运算。控制单元用来解实存储器中的指令,并发出各种操作命令来执行指令。ALU 和CU 是CPU 的核心部件。I/O 设备也受CU 控制,用 来完成相应的输入输出操作。 二、计算机硬件的主要技术指标 衡量一台计算机性能的优劣是根据多项技术指标综合确定的。其中,既包含硬件的各种性能指标,又包括软件的各种功能。1.机器字长 机器字长是指CPU 一次能处理数据的位数,通常与CPU 的寄存器位数有关。字长越长,数的表示范围越大,精度越高。机器的字长会影响机器的运算速度。倘若CPU 字长较短,又要运算位数较多的数据,那么需要经过两次或多次的运算才完成,势必影响运算速度。机器字长对硬件的造价也有较大的影响。它将直接影响加法器(ALU )、数据总线以及存储字长的位数。所以机器字长的确定不能单从精度和数的表示范围来考虑。2.存储容量 存储器的容量应该包括主存容量和辅存容量。 主存容量是指主存中存放二进制代码的总位数。即存储容量=存储单元个数*存储字长。MAR 的位数反映了存储单元的个数,MDR 的位数反映了存储字长。例如,MAR 为16位,根据2^16=65536,表示此存储体内又65536个存储单元(即64K 个存储字,1K=1024=2^10);而MDR 为32位,表示存储容量2^16*32=2^21=2M 位(1M=2^20)。 现代计算机中常以字节数来描述容量的大小,因一个字节已被定义为8位二进制代码,故用字节数便能反映主存容量。例如:上述存储容量位2M 位,也可用2^18字节表示,记作2^18B 或256KB 。 辅存容量通常用字节数来表示,例如,某机辅存(硬盘)容量为80G (1G=1024M=2^10*2^20=2^30).3.运算速度 计算机的运算速度与许多因素有关,如机器的主频、执行什么样的操作、主存本身的速度等都有关。采用吉普森法,综合考虑每条指令的执行时间以及它们在全部操作中所占的 百分比,即 其中Tm 为机器运行速度;fi 为第i 种指令占全部操作的百分比数;ti 为第i 种指令的执行时间。

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

计算机组成原理典型例题讲解

分析设计计算: 1.CPU结构如图1所示,其中有一个累加寄存器AC,一个状态条件寄存器,各部分之间的连线表示数据通路,箭头表示信息传送方向。 (1)标明图中四个寄存器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/ 取访问的数据通路。 图1 解: (1)a为数据缓冲寄存器DR ,b为指令寄存器IR ,c为主存地址寄存器,d为程序计数器PC。 (2)主存M →缓冲寄存器DR →指令寄存器IR →操作控制器。 (3)存贮器读:M →缓冲寄存器DR →ALU →AC 存贮器写:AC →缓冲寄存器DR →M

2. 某机器中,配有一个ROM芯片,地址空间0000H—3FFFH。现在再用几个16K×8的芯片构成一个32K×8的RAM区域,使其地址空间为8000H—FFFFH。假设此RAM芯片有/CS和/WE信号控制端。CPU地址总线为A15—A0,数据总线为D7—D0,控制信号为R//W,MREQ(存储器请求),当且仅当MREQ 和R//W同时有效时,CPU才能对有存储器进行读(或写)。 (1)满足已知条件的存储器,画出地址码方案。 (2)画出此CPU与上述ROM芯片和RAM芯片的连接图。 解:存储器地址空间分布如图1所示,分三组,每组16K×8位。 由此可得存储器方案要点如下: (1)用两片16K*8 RAM芯片位进行串联连接,构成32K*8的RAM区域。片内地址:A0——A13,片选地址为:A14——A15; (2)译码使用2 :4 译码器; (3)用/MREQ 作为2 :4译码器使能控制端,该信号低电平(有效)时,译码器工作。 (4)CPU的R / /W信号与RAM的/WE端连接,当R // W = 1时存储器执行读操作,当R // W = 0时,存储器执行写操作。如图1 0000 3FFF 8000

【精品】计算机组成原理期末考试简答题重点

一、简答题 1、试述浮点数规格化的目的和方法。 答:浮点的规格化是为了使浮点数尾数的最高数值位为有效数位。当尾数用补码表示时,若符号位与小数点后的第一位不相等,则被定义为已规格化的数,否则便是非规格化数。通过规格化,可以保证运算数据的精度。 方法:进行向左规格化,尾数左移一位,阶码减1,直到规格化完毕。 2、简述循环冗余码(CRC)的纠错原理。 答:CRC码是一种纠错能力较强的校验码。在进行校验时,先将被检数据码的多项式用 生成多项式G(X)来除,若余数为0,说明数据正确;若余数不为0,则说明被检数据有错。 只要正确选择多项式G(X),余数与CRC码出错位位置的对应关系是一定的,由此可以 用余数作为判断出错位置的依据而纠正出错的数据位。 3、DRAM存储器为什么要刷新?有几种刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像 SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅 极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 ①集中式---正常读/写操作与刷新操作分开进行,刷新集中完成。 ②分散式---将一个存储系统周期分成两个时间片,分时进行正常读/写操作和刷新操作。 ③异步式---前两种方式的结合,每隔一段时间刷新一次,保证在刷新周期内对整个存储器 刷新一遍。 4、CPU中有哪些主要寄存器?简述这些寄存器的功能。 (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。 (4)缓冲寄存器(DR): <1>作为CPU和内存、外部设备之间信息传送的中转站。 <2>补偿CPU和内存、外围设备之间在操作速度上的差别。 <3>在单累加器结构的运算器中,缓冲寄存器还可兼作为操作数寄存器。 (5)通用寄存器(AC):当运算器的算术逻辑单元(ALU)执行全部算术和逻辑运算时,为ALU提供一个工作区。 (6)状态条件寄存器:保存由算术指令和逻辑指令运行或测试的结果建立的各种条件码内容。除此之外,还保存中断和系统工作状态等信息,以便使CPU和系统能及时了解机器运行状态和程序运行状态。 5、中断处理过程包括哪些操作步骤? 关闭中断标识,重要数据入栈, 处理中断服务功能, 数据出栈, 恢复中断标识, 开中断.

计算机组成原理重点

内部资料,转载请注明出处,谢谢合作。 说明CPU中的主要寄存器及其功能。 解: (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。 (4)缓冲寄存器(DR):<1>作为CPU和内存、外部设备之间信息传送的中转站。 <2>补偿CPU和内存、外围设备之间在操作速度上的差 别。 <3>在单累加器结构的运算器中,缓冲寄存器还可兼作为 操作数寄存器。 (5)通用寄存器(AC):当运算器的算术逻辑单元(ALU)执行全部算术和逻辑运算时,为ALU提供一个工作区。 (6)状态条件寄存器:保存由算术指令和逻辑指令运行或测试的结果建立的各种条件码内容。除此之外,还保存中断和系统工作状态等信息,以便使CPU和系统 能及时了解机器运行状态和程序运行状态。 主存储器的性能指标有哪些?含义是什么? 1.解:主存储器的性能指标主要是存储容量、存取时间、存储周期、存储器带宽。 存储容量:一个存储器中可以容纳的存储单元总数。 存取时间:又称存储器访问时间,是指从启动一次存储器操作到完成该操作 所经历的时间。 存储周期:是指连续启动两次独立的存储操作(如连续两次读操作)所需间 隔的最小时间。 存储器带宽:在单位时间中主存传输数据的速率。 1.什么叫指令?什么叫微指令?二者有什么关系? 指令,即指机器指令。每一条指令可以完成一个独立的算术运算或逻辑运算操作。控制部件通过控制线向执行部件发出各种控制命令,通常把这种控制命令叫做微命令,而一组实现一定操作功能的微命令的组合,构成一条微指令。许多条微指令组成的序列构成了微程序,微程序则完成对指令的解释执行。 2.说明机器周期、时钟周期、指令周期之间的关系。 指令周期是指取出并执行一条指令的时间,指令周期常常用若干个CPU周期数来表示,CPU 周期也称为机器周期,而一个CPU周期又包含若干个时钟周期(也称为节拍脉冲或T周期)。 1.CPU响应中断应具备哪些条件? 应具备: (1)在CPU内部设置的中断允许触发器必须是开放的。 (2)外设有中断请求时,中断请求触发器必须处于“1”状态,保持中断请

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

相关主题