搜档网
当前位置:搜档网 › 半导体专业英语词汇

半导体专业英语词汇

半导体专业英语词汇
半导体专业英语词汇

半导体专业词汇

1. acceptance testing (WAT: wafer acceptance testing)

2. acceptor: 受主,如B,掺入Si中需要接受电子

3. ACCESS:一个EDA(Engineering Data Analysis)系统

4. Acid:酸

5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)

6. Align mark(key):对位标记

7. Alloy:合金

8. Aluminum:铝

9. Ammonia:氨水

10. Ammonium fluoride:NH4F

11. Ammonium hydroxide:NH4OH

12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)

13. Analog:模拟的

14. Angstrom:A(1E-10m)埃

15. Anisotropic:各向异性(如POLY ETCH)

16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)

17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)

18. Antimony(Sb)锑

19. Argon(Ar)氩

20. Arsenic(As)砷

21. Arsenic trioxide(As2O3)三氧化二砷

22. Arsine(AsH3)

23. Asher:去胶机

24. Aspect ration:形貌比(ETCH中的深度、宽度比)

25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)

26. Back end:后段(CONTACT以后、PCM测试前)

27. Baseline:标准流程

28. Benchmark:基准

29. Bipolar:双极

30. Boat:扩散用(石英)舟

31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。

32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。

33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。

34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。

35. Chip:碎片或芯片。

36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。

37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技

术。

38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

39. Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。

40. CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一个硅衬底上混合制造的工艺。

41. Computer-aided design(CAD):计算机辅助设计。

42. Conductivity type:传导类型,由多数载流子决定。在N型材料中多数载流子是电子,在P型材料中多数载流子是空穴。

43. Contact:孔。在工艺中通常指孔1,即连接铝和硅的孔。

44. Control chart:控制图。一种用统计数据描述的可以代表工艺某种性质的曲线图表。

45. Correlation:相关性。

46. Cp:工艺能力,详见process capability。

47. Cpk:工艺能力指数,详见process capability index。

48. Cycle time:圆片做完某段工艺或设定工艺段所需要的时间。通常用来衡量流通速度的快慢。

49. Damage:损伤。对于单晶体来说,有时晶格缺陷在表面处理后形成无法修复的变形也可以叫做损伤。

50. Defect density:缺陷密度。单位面积内的缺陷数。

51. Depletion implant:耗尽注入。一种在沟道中注入离子形成耗尽晶体管的注入工艺。(耗尽晶体管指在栅压为零的情况下有电流流过的晶体管。)

52. Depletion layer:耗尽层。可动载流子密度远低于施主和受主的固定电荷密度的区域。

53. Depletion width:耗尽宽度。53中提到的耗尽层这个区域的宽度。

54. Deposition:淀积。一种在圆片上淀积一定厚度的且不和下面层次发生化学反应的薄膜的一种方法。

55. Depth of focus(DOF):焦深。

56. design of experiments (DOE):为了达到费用最小化、降低试验错误、以及保证数据结果的统计合理性等目的,所设计的初始工程批试验计划。

57. develop:显影(通过化学处理除去曝光区域的光刻胶,形成所需图形的过程)

58. developer:Ⅰ)显影设备;Ⅱ)显影液

59. diborane (B2H6):乙硼烷,一种无色、易挥发、有毒的可燃气体,常用来作为半导体生产中的硼源

60. dichloromethane (CH2CL2):二氯甲,一种无色,不可燃,不可爆的液体。

61. dichlorosilane (DSC):二氯甲硅烷,一种可燃,有腐蚀性,无色,在潮湿环境下易水解的物质,常用于硅外延或多晶硅的成长,以及用在沉积二氧化硅、氮化硅时的化学气氛中。

62. die:硅片中一个很小的单位,包括了设计完整的单个芯片以及芯片邻近水平和垂直方向上的部分划片槽区域。

63. dielectric:Ⅰ)介质,一种绝缘材料;Ⅱ)用于陶瓷或塑料封装的表面材料,可以提供电绝缘功能。

64. diffused layer:扩散层,即杂质离子通过固态扩散进入单晶硅中,在临近硅表面的区域形成与衬底材料反型的杂质离子层。

65. disilane (Si2H6):乙硅烷,一种无色、无腐蚀性、极易燃的气体,燃烧时能

产生高火焰,暴露在空气中会自燃。在生产光电单元时,乙硅烷常用于沉积多晶硅薄膜。

66. drive-in:推阱,指运用高温过程使杂质在硅片中分布扩散。

67. dry etch:干刻,指采用反应气体或电离气体除去硅片某一层次中未受保护区域的混合了物理腐蚀及化学腐蚀的工艺过程。

68. effective layer thickness:有效层厚,指在外延片制造中,载流子密度在规定范围内的硅锭前端的深度。

69. EM:electromigration,电子迁移,指由通过铝条的电流导致电子沿铝条连线进行的自扩散过程。

70. epitaxial layer:外延层。半导体技术中,在决定晶向的基质衬底上生长一层单晶半导体材料,这一单晶半导体层即为外延层。

71. equipment downtime:设备状态异常以及不能完成预定功能的时间。

72. etch:腐蚀,运用物理或化学方法有选择的去除不需的区域。

73. exposure:曝光,使感光材料感光或受其他辐射材料照射的过程。

74. fab:常指半导体生产的制造工厂。

75. feature size:特征尺寸,指单个图形的最小物理尺寸。

76. field-effect transistor(FET):场效应管。包含源、漏、栅、衬四端,由源经栅到漏的多子流驱动而工作,多子流由栅下的横向电场控制。

77. film:薄膜,圆片上的一层或多层迭加的物质。

78. flat:平边

79. flatband capacitanse:平带电容

80. flatband voltage:平带电压

81. flow coefficicent:流动系数

82. flow velocity:流速计

83. flow volume:流量计

84. flux:单位时间内流过给定面积的颗粒数

85. forbidden energy gap:禁带

86. four-point probe:四点探针台

87. functional area:功能区

88. gate oxide:栅氧

89. glass transition temperature:玻璃态转换温度

90. gowning:净化服

91. gray area:灰区

92. grazing incidence interferometer:切线入射干涉仪

93. hard bake:后烘

94. heteroepitaxy:单晶长在不同材料的衬底上的外延方法

95. high-current implanter:束电流大于3ma的注入方式,用于批量生产

96. hign-efficiency particulate air(HEPA) filter:高效率空气颗粒过滤器,去掉%的大于的颗粒

97. host:主机

98. hot carriers:热载流子

99. hydrophilic:亲水性

100. hydrophobic:疏水性

101. impurity:杂质

102. inductive coupled plasma(ICP):感应等离子体

103. inert gas:惰性气体

104. initial oxide:一氧

105. insulator:绝缘

106. isolated line:隔离线

107. implant : 注入

108. impurity n : 掺杂

109. junction : 结

110. junction spiking n :铝穿刺

111. kerf :划片槽

112. landing pad n :PAD

113. lithography n 制版

114. maintainability, equipment : 设备产能115. maintenance n :保养

116. majority carrier n :多数载流子

117. masks, device series of n : 一成套光刻版118. material n :原料

119. matrix n 1 :矩阵

120. mean n : 平均值

121. measured leak rate n :测得漏率

122. median n :中间值

123. memory n : 记忆体

124. metal n :金属

125. nanometer (nm) n :纳米

126. nanosecond (ns) n :纳秒

127. nitride etch n :氮化物刻蚀

128. nitrogen (N2 ) n:氮气,一种双原子气体

129. n-type adj :n型

130. ohms per square n:欧姆每平方: 方块电阻

131. orientation n:晶向,一组晶列所指的方向

132. overlap n :交迭区

133. oxidation n :氧化,高温下氧气或水蒸气与硅进行的化学反应

134. phosphorus (P) n :磷,一种有毒的非金属元素

135. photomask n :光刻版,用于光刻的版

136. photomask, negative n:反刻

137. images:去掉图形区域的版

138. photomask, positive n:正刻

139. pilot n :先行批,用以验证该工艺是否符合规格的片子

140. plasma n :等离子体,用于去胶、刻蚀或淀积的电离气体

141. plasma-enhanced chemical vapor deposition (PECVD) n:等离子体化学气相淀积,低温条件下的等离子淀积工艺

142. plasma-enhanced TEOS oxide deposition n:TEOS淀积,淀积TEOS的一种工艺

143. pn junction n:pn结

144. pocked bead n:麻点,在20X下观察到的吸附在低压表面的水珠

145. polarization n:偏振,描述电磁波下电场矢量方向的术语

146. polycide n:多晶硅 /金属硅化物,解决高阻的复合栅结构

147. polycrystalline silicon (poly) n:多晶硅,高浓度掺杂(>5E19)的硅,

能导电。

148. polymorphism n:多态现象,多晶形成一种化合物以至少两种不同的形态结晶的现象

149. prober n :探针。在集成电路的电流测试中使用的一种设备,用以连接圆片和检测设备。

150. process control n :过程控制。半导体制造过程中,对设备或产品规范的控制能力。

151. proximity X-ray n :近X射线:一种光刻技术,用X射线照射置于光刻胶上方的掩膜版,从而使对应的光刻胶暴光。

152. pure water n : 纯水。半导体生产中所用之水。

153. quantum device n :量子设备。一种电子设备结构,其特性源于电子的波动性。

154. quartz carrier n :石英舟。

155. random access memory (RAM) n :随机存储器。

156. random logic device n :随机逻辑器件。

157. rapid thermal processing (RTP) n :快速热处理(RTP)。

158. reactive ion etch (RIE) n : 反应离子刻蚀(RIE)。

159. reactor n :反应腔。反应进行的密封隔离腔。

160. recipe n :菜单。生产过程中对圆片所做的每一步处理规范。

161. resist n :光刻胶。

162. scanning electron microscope (SEM) n :电子显微镜(SEM)。

163. scheduled downtime n : (设备)预定停工时间。

164. Schottky barrier diodes n :肖特基二极管。

165. scribe line n :划片槽。

166. sacrificial etchback n :牺牲腐蚀。

167. semiconductor n :半导体。电导性介于导体和绝缘体之间的元素。

168. sheet resistance (Rs) (or per square) n :薄层电阻。一般用以衡量半导体表面杂质掺杂水平。

169. side load: 边缘载荷,被弯曲后产生的应力。

170. silicon on sapphire(SOS)epitaxial wafer:外延是蓝宝石衬底硅的原片171. small scale integration(SSI):小规模综合,在单一模块上由2到10个图案的布局。

172. source code:原代码,机器代码编译者使用的,输入到程序设计语言里或编码器的代码。

173. spectral line: 光谱线,光谱镊制机或分光计在焦平面上捕捉到的狭长状的图形。

174. spin webbing: 旋转带,在旋转过程中在下表面形成的细丝状的剩余物。175. sputter etch: 溅射刻蚀,从离子轰击产生的表面除去薄膜。

176. stacking fault:堆垛层错,原子普通堆积规律的背离产生的2次空间错误。177. steam bath:蒸汽浴,一个大气压下,流动蒸汽或其他温度热源的暴光。178. step response time:瞬态特性时间,大多数流量控制器实验中,普通变化时段到气流刚到达特定地带的那个时刻之间的时间。

179. stepper: 步进光刻机(按BLOCK来曝光)

180. stress test: 应力测试,包括特定的电压、温度、湿度条件。

181. surface profile:表面轮廓,指与原片表面垂直的平面的轮廓(没有特指的情况下)。

182. symptom:征兆,人员感觉到在一定条件下产生变化的弊病的主观认识。

183. tack weld:间断焊,通常在角落上寻找预先有的地点进行的点焊(用于连接盖子)。

184. Taylor tray:泰勒盘,褐拈土组成的高膨胀物质。

185. temperature cycling:温度周期变化,测量出的重复出现相类似的高低温循环。

186. testability:易测性,对于一个已给电路来说,哪些测试是适用它的。

187. thermal deposition:热沉积,在超过950度的高温下,硅片引入化学掺杂物的过程。

188. thin film:超薄薄膜,堆积在原片表面的用于传导或绝缘的一层特殊薄膜。189. titanium(Ti): 钛。

190. toluene(C6H5CH3): 甲苯。有毒、无色易燃的液体,它不溶于水但溶于酒精和大气。

191. 1,1,1-trichloroethane(TCA)(CL3CCH3): 有毒、不易燃、有刺激性气味的液态溶剂。这种混合物不溶于水但溶于酒精和大气。

192. tungsten(W): 钨。

193. tungsten hexafluoride(WF6): 氟化钨。无色无味的气体或者是淡黄色液体。在CVD中WF6用于淀积硅化物,也可用于钨传导的薄膜。

194. tinning: 金属性表面覆盖焊点的薄层。

195. total fixed charge density(Nth): 下列是硅表面不可动电荷密度的总和:氧化层固定电荷密度(Nf)、氧化层俘获的电荷的密度(Not)、界面负获得电荷密度(Nit)。

196. watt(W): 瓦。能量单位。

197. wafer flat: 从晶片的一面直接切下去,用于表明自由载流子的导电类型和晶体表面的晶向,也可用于在处理和雕合过程中的排列晶片。

198. wafer process chamber(WPC): 对晶片进行工艺的腔体。

199. well: 阱。

200. wet chemical etch: 湿法化学腐蚀。

201. trench: 深腐蚀区域,用于从另一区域隔离出一个区域或者在硅晶片上形成存储电容器。

202. via: 通孔。使隔着电介质的上下两层金属实现电连接。

203. window: 在隔离晶片中,允许上下两层实现电连接的绝缘的通道。

204. torr : 托。压力的单位。

205. vapor pressure: 当固体或液体处于平衡态时自己拥有的蒸汽所施加的压力。蒸汽压力是与物质和温度有关的函数。

206. vacuum: 真空。

207. transition metals: 过渡金属

Yield 良率

Parameter参数

PAC感光化合物

ASIC特殊应用集成电路

Solvent 溶剂

Carbide碳

Refractive折射

Expansion膨胀

Strip 湿式刻蚀法的一种

TM: top mental 顶层金属层

WEE 周边曝光

PSG 硼硅玻璃

MFG 制造部

Runcard 运作卡

POD 装晶舟和晶片的盒子

Scratch 刮伤

Reticle 光罩

Sputter 溅射

Spin 旋转

Merge 合并

A/D [军] , 模拟/数字

AC Magnitude 交流幅度

AC Phase 交流相位

Accuracy 精度

"Activity Model

Activity Model" 活动模型

Additive Process 加成工艺

Adhesion 附着力

Aggressor 干扰源

Analog Source 模拟源

AOI,Automated Optical Inspection 自动光学检查Assembly Variant 不同的装配版本输出

Attributes 属性

AXI,Automated X-ray Inspection 自动X光检查

BIST,Built-in Self Test 内建的自测试

Bus Route 总线布线

Circuit 电路基准

circuit diagram 电路图

Clementine 专用共形开线设计

Cluster Placement 簇布局

CM 合约制造商

Common Impedance 共模阻抗

Concurrent 并行设计

Constant Source 恒压源

Cooper Pour 智能覆铜

Crosstalk 串扰

CVT,Component Verification and Tracking 元件确认与跟踪DC Magnitude 直流幅度

Delay 延时

Delays 延时

Design for Testing 可测试性设计

Designator 标识

DFC,Design for Cost 面向成本的设计

DFM,Design for Manufacturing 面向制造过程的设计

DFR,Design for Reliability 面向可靠性的设计

DFT,Design for Test 面向测试的设计

DFX,Design for X 面向产品的整个生命周期或某个环节的设计DSM,Dynamic Setup Management 动态设定管理

Dynamic Route 动态布线

EDIF,The Electronic Design Interchange Format 电子设计交互格式EIA,Electronic Industries Association 电子工业协会

Electro Dynamic Check 动态电性能分析

Electromagnetic Disturbance 电磁干扰

Electromagnetic Noise 电磁噪声

EMC,Elctromagnetic Compatibilt 电磁兼容

EMI,Electromagnetic Interference 电磁干扰

Emulation 硬件仿真

Engineering Change Order 原理图与PCB版图的自动对应修改Ensemble 多层平面电磁场仿真

ESD 静电释放

Fall Time 下降时间

False Clocking 假时钟

FEP 氟化乙丙烯

FFT,Fast Fourier Transform 快速傅里叶变换

Float License 网络浮动

Frequency Domain 频域

Gaussian Distribution 高斯分布

Global flducial 板基准

Ground Bounce 地弹反射

GUI,Graphical User Interface 图形用户界面

Harmonica 射频微波电路仿真

HFSS 三维高频结构电磁场仿真

IBIS,Input/Output Buffer Information Specification 模型

ICAM,Integrated Computer Aided Manufacturing 在ECCE项目里就是指制作PCB IEEE,The Institute of Electrical and Electronic Engineers 国际电气和电子工程师协会

IGES,Initial Graphics Exchange Specification 三维立体几何模型和工程描述的标准

Image Fiducial 电路基准

Impedance 阻抗

In-Circuit-Test 在线测试

Initial Voltage 初始电压

Input Rise Time 输入跃升时间

IPC,The Institute for Packaging and Interconnect 封装与互连协会

IPO,Interactive Process Optimizaton 交互过程优化

ISO,The International Standards Organization 国际标准化组织

Jumper 跳线

Linear Design Suit 线性设计软件包

Local Fiducial 个别基准

manufacturing 制造业

MCMs,Multi-Chip Modules 多芯片组件

MDE,Maxwell Design Environment

Nonlinear Design Suit 非线性设计软件包ODB++ Open Data Base 公开数据库

OEM 原设备制造商

OLE Automation 目标连接与嵌入

On-line DRC 在线设计规则检查

Optimetrics 优化和参数扫描

Overshoot 过冲

Panel fiducial 板基准

PCB PC Board Layout Tools 电路板布局布线PCB,Printed Circuit Board 印制电路板

Period 周期

Periodic Pulse Source 周期脉冲源

Physical Design Reuse 物理设计可重复

PI,Power Integrity 电源完整性

Piece-Wise-linear Source 分段线性源Preview 输出预览

Pulse Width 脉冲宽度

Pulsed Voltage 脉冲电压

Quiescent Line 静态线

Radial Array Placement 极坐标方式的元件布局Reflection 反射

Reuse 实现设计重用

Rise Time 上升时间

Rnging 振荡,信号的振铃

Rounding 环绕振荡

Rules Driven 规则驱动设计

Sax Basic Engine 设计系统中嵌入

SDE,Serenade Design Environment

SDT,Schematic Design Tools 电路原理设计工具

Setting 设置

Settling Time 建立时间

Shape Base 以外形为基础的无网格布线

Shove 元器件的推挤布局

SI,Signal Integrity 信号完整性

Simulation 软件仿真

Sketch 草图法布线

Skew 偏移

Slew Rate 斜率

SPC,Statictical Process Control 统计过程控制

SPI,Signal-Power Integrity 将信号完整性和电源完整性集成于一体的分析工具SPICE,Simulation Program with Integrated Circuit Emphasis 集成电路模拟的仿真程序

Split/Mixed Layer 多电源/地线的自动分隔

SSO 同步交换

STEP,Standard for the Exchange of Product Model Data

Symphony 系统仿真

Time domain 时域

Timestep Setting 步进时间设置

UHDL,VHSIC Hardware Description Language 硬件描述语言

Undershoot 下冲

Uniform Distribution 均匀分布

Variant 派生

VIA-Vendor Integration Alliance 程序框架联盟

Victim 被干扰对象

Virtual System Prototype 虚拟系统原型

VST,Verfication and Simulation Tools 验证和仿真工具

Wizard 智能建库工具,向导

2. 专业术语

术语英文意义中文解释

LCD Liquid Crystal Display 液晶显示

LCM Liquid Crystal Module 液晶模块

TN Twisted Nematic 扭曲向列。液晶分子的扭曲取向偏转90度

STN Super Twisted Nematic 超级扭曲向列。约180~270度扭曲向列

FSTN Formulated Super Twisted Nematic 格式化超级扭曲向列。一层光程补偿偏甲于STN,用于单色显示

TFT Thin Film Transistor 薄膜晶体管

Backlight - 背光

Inverter - 逆变器

OSD On Screen Display 在屏上显示

DVI Digital Visual Interface (VGA)数字接口

TMDS Transition Minimized Differential Singnaling

LVDS Low Voltage Differential Signaling 低压差分信号

Panelink -

IC Integrate Circuit 集成电路

TCP Tape Carrier Package 柔性线路板

COB Chip On Board 通过绑定将IC裸偏固定于印刷线路板上

COF Chip On FPC 将IC固定于柔性线路板上

COG Chip On Glass 将芯偏固定于玻璃上

Duty - 占空比,高出点亮的阀值电压的部分在一个周期中所占的比率

LED Light Emitting Diode 发光二极管

EL Elextro Luminescence 电致发光。EL层由高分子量薄片构成

CCFL(CCFT) Cold Cathode Fluorescent Light/Tude 冷阴极荧光灯

PDP Plasma Display Panel 等离子显示屏

CRT Cathode Radial Tude 阴极射线管

VGA Video Graphic Anay 视频图形陈列

PCB Printed Circuit Board 印刷电路板

Composite video - 复合视频

component video - 分量视频

S-video - S端子,与复合视频信号比,将对比和颜色分离传输

NTSC National Television Systems Committee NTSC制式。全国电视系统委员会制式

电子行业专业英语词汇

专用词汇表 一、生产流程 1. 插机(刮蓝胶)component- inserting 2. 装配assembly 3. 修理repair 4. 包装packaging 5. 成品仓finished goods warehouse 6. 返工rework 7. 啤胶件 8. 组件成型component moulding 9. IC 烧录IC program 10. 插件insection 11. 注塑plastic injection 12. 发料material delivery 13. 插机 14. 零件加工component-processing 15. 炉前外观检查visual inspection before wave soldering 16. 电源板波峰焊power board wave soldering 18. 零件后焊hand soldering 19. 零件焊接&打黄胶 20. 低电压测试low voltage test 21. 半成品外观检查Semi-finished goods visual inspection 22. 过水洗机 23. 打热熔胶dotting hot glue 24. 装卡板 25. pallet 栈板 26. IC 烧录IC program 27. SMT(刮锡膏) 28. 喷油spray conformal coating 29. 焗干防水油 30. welding 焊接 31. breathing 排气 32. feed 供料 33. Tiana 天那水 34. to load material 上料 35. to unload material 卸料 36. to return material/stock to 退料 37. to fix a die 装模

半导体常用英语词汇-

MFG 常用英文单字 Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导容易 绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易 半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片, 称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在 上一站加工时,本站便要空着机台等待Super Hot Run。 Hot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则,或 视常班向生产指令而定。 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。 Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格 内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知 制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能力。 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料,然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都

学科的英语词汇

中英文学科专业名称 哲学 Philosophy 马克思主义哲学 Philosophy of Marxism 中国哲学 Chinese Philosophy 外国哲学 Foreign Philosophies 逻辑学 Logic 伦理学 Ethics 美学 Aesthetics 宗教学 Science of Religion 科学技术哲学Philosophy of Science and Technology 经济学 Economics 理论经济学 Theoretical Economics 政治经济学 Political Economy 经济思想史 History of Economic Thought 经济史 History of Economic 西方经济学 Western Economics 世界经济 World Economics 人口、资源与环境经济学 Population, Resources and Environmental Economics 应用经济学 Applied Economics 国民经济学 National Economics 区域经济学 Regional Economics 财政学(含税收学) Public Finance (including Taxation) 金融学(含保险学) Finance (including Insurance) 产业经济学 Industrial Economics 国际贸易学 International Trade

劳动经济学 Labor Economics 统计学 Statistics 数量经济学 Quantitative Economics 国防经济学 National Defense Economics 法学 Law 法学 Science of Law 法学理论 Jurisprudence 法律史 Legal History 宪法学与行政法学Constitutional Law and Administrative Law 刑法学 Criminal Jurisprudence 民商法学(含劳动法学、社会保障法学) Civil Law and Commercial Law (including Science of Labour Law and Science of Social Security Law ) 诉讼法学 Science of Procedure Laws 经济法学 Science of Economic Law 环境与资源保护法学 Science of Environment and Natural Resources Protection Law 国际法学(含国际公法学、国际私法学、国际经济法学、) International law (including International Public law, International Private Law and International Economic Law) 军事法学 Science of Military Law 政治学 Political Science 政治学理论 Political Theory 中外政治制度Chinese and Foreign Political Institution 科学社会主义与国际共产主义运动 Scientific Socialism and International Communist Movement

医药行业专业英语词汇(非常有用)

FDA 和EDQM 术语: CLINICAL TRIAL :临床试验 ANIMAL TRIAL :动物试验ACCELERATED APPROVAL :加速批准STANDARD DRUG :标准药物INVESTIGATOR :研究人员;调研人员PREPARING AND SUBMITTING :起草和申报SUBMISSION :申报;递交 BENIFIT (S):受益 RISK (S):受害 DRUG PRODUCT :药物产品 DRUG SUBSTANCE :原料药ESTABLISHED NAME :确定的名称GENERIC NAME :非专利名称PROPRIETARY NAME :专有名称; INN (INTERNATIONAL NONPROPRIETARY NAME ADVERSE EFFECT :副作用 ADVERSE REACTION :不良反应 PROTOCOL :方案 ARCHIVAL COPY :存档用副本 REVIEW COPY :审查用副本 OFFICIAL COMPENDIUM :法定药典(主要指USP、):国际非专有名称NF ).

USP(THE UNITED STATES PHARMACOPEIA ):美国药典 NF (NATIONAL FORMULARY ):(美国)国家处方集 OFFICIAL = PHARMACOPEIAL= COMPENDIAL :药典的;法定的;官方的 AGENCY :审理部门(指FDA ) IDENTITY :真伪;鉴别;特性 STRENGTH :规格;规格含量(每一剂量单位所含有效成分的量) LABELED AMOUNT :标示量 REGULATORY SPECIFICATION :质量管理规格标准(NDA 提供) REGULATORY METHODOLOGY :质量管理方法 REGULATORY METHODS VALIDATION :管理用分析方法的验证 COS/CEP 欧洲药典符合性认证 ICH (International Conference on Harmonization of Technical Requirements for Registration of Pharmaceuticals for Human Use)人用药物注册技术要求国际协调会议 ICH 文件分为质量、安全性、有效性和综合学科 4 类。 质量技术要求文件以Q 开头,再以a,b,c,d 代表小项: Q1:药品的稳定性 Q2: 方法学 Q3: 杂质 Q4: 药典 Q5: 生物技术产品质量 Q6: 标准规格 Q7:GMP

硬件类常用英语词汇

硬件类常用英语词汇 下面是小编整理的硬件类常用英语词汇,希望对大家有帮助。 计算机英语词汇大全 常见硬件篇 CPU:Central Processing Unit,中央处理单元,又叫中央处理器或微处理器,被喻为电脑的心脏。 LD:Laser Disk,镭射光盘,又称激光视盘。 CD:Compact Disc,压缩光盘,又称激光唱盘。 CD-ROM:Compact Disc-Read Only Memory,压缩光盘-只读记忆(存储),又叫“只读光盘”。 VCD:Video Compact Disc,视频压缩光盘,即人们通常所说的“小影碟”。 RAM:Random Access Memory,随机存储器,即人们常说的“内存”。 ROM:Read-Only Memory,只读存储器。 Seagate:美国希捷硬盘生产商。Seagate英文意思为“通往海洋的门户”,常指通海的运河等。 Quantum:英文含意为“定量,总量”。著名硬盘商标,美国昆腾硬盘生产商(Quantum Corporation)。

Maxtor:“水晶”,美国Maxtor硬盘公司。 PCI:Peripheral Component Interconnection,局部总线(总线是计算机用于把信息从一个设备传送到另一个设备的高速通道)。PCI总线是目前较为先进的一种总线结构,其功能比其他总线有很大的提高,可支持突发读写操作,最高传输率可达132Mbps,是数据传输最快的总线之一,可同时支持多组外围设备。PCI不受制于 CPU处理器,并能兼容现有的各种总线,其主板插槽体积小,因此成本低,利于推广。 EDO:Extended Data Output,扩充数据输出。当CPU的处 理速度不断提高时,也相应地要求不断提高DRAM传送数据速度, 一般来说,FPM(Fast Page Model)DRAM传送数据速度在60-70ns,而EDO DRAM比FPM快3倍,达20ns。目前最快的是SDRAM(Synchronous DRAM,同步动态存储器),其存取速度高 达10ns。 SDRAM:Synchronous Dynamic Random Access Memory,同步动态随机存储器,又称同步DRAM,为新一代动态 存储器。它可以与CPU总线使用同一个时钟,因此,SDRAM存储 器较EDO存储器能使计算机的性能大大提高。 Cache:英文含义为“(勘探人员等贮藏粮食、器材等的)地窖; 藏物处”。电脑中为高速缓冲存储器,是位于CPU和主存储器 DRAM(Dynamic Randon Access Memory)之间,规模较小,但 速度很高的存储器,通常由SRAM(Static Random Access

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

微电子专业英语

微电子学专业词汇 A be absorb in 集中精力做某事 access control list 访问控制表 active attack 主动攻击 activeX control ActiveX控件 advanced encryption standard AES,高级加密标准 algorithm 算法 alteration of message 改变消息 application level attack 应用层攻击 argument 变量 asymmetric key cryptography 非对称密钥加密 attribute certificate属性证书 authentication 鉴别 authority 机构 availability 可用性 Abrupt junction 突变结 Accelerated testing 加速实验 Acceptor 受主 Acceptor atom 受主原子 Accumulation 积累、堆积 Accumulating contact 积累接触 Accumulation region 积累区 Accumulation layer 积累层 Active region 有源区 Active component 有源元 Active device 有源器件 Activation 激活 Activation energy 激活能 Active region 有源(放大)区 Admittance 导纳 Allowed band 允带 Alloy-junction device 合金结器件 Aluminum(Aluminium) 铝 Aluminum – oxide 铝氧化物 Aluminum passivation 铝钝化 Ambipolar 双极的 Ambient temperature 环境温度 Amorphous 无定形的,非晶体的 Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器 Angstrom 埃 Anneal 退火

最完整的计算机中的常用英语单词大全

A Active-matrix主动距陈 Adapter cards适配卡 Advanced application高级应用Analytical graph分析图表 Analyze分析 Animations动画 Application software 应用软件Arithmetic operations算术运算 Audio-output device音频输出设备Access time存取时间 access存取 accuracy准确性 ad network cookies广告网络信息记录软件 Add-ons附软件 Address地址 Agents代理 Analog signals模拟信号 Applets程序 Asynchronous communications port异步通信端口 Attachment附件 B Bar code条形码 Bar code reader条形码读卡器 Basic application基础程序 Binary coding schemes二进制译码方案Binary system二进制系统 Bit比特 Browser浏览器 Bus line总线 Backup tape cartridge units备份磁带盒单元 Bandwidth带宽 Bluetooth蓝牙 Broadband宽带 Browser浏览器 Business-to-business企业对企业电子商务 Business-to-consumer企业对消费者Bus总线C Cables连线 Cell单元箱 Chain printer链式打印机 Character and recognition device字符标识识别设备 Chart图表 Chassis支架 Chip芯片 Clarity清晰度 Closed architecture封闭式体系结构Column列 Combination key结合键 computer competency计算机能力connectivity连接,结点 Continuous-speech recognition system 连续语言识别系统 Control unit操纵单元 Cordless or wireless mouse无线鼠标Cable modems有线调制解调器 carpal tunnel syndrome腕骨神经综合症CD-ROM可记录光盘 CD-RW可重写光盘 CD-R可记录压缩光盘 Channel信道 Chat group谈话群组chlorofluorocarbons(CFCs) ]氯氟甲烷Client客户端 Coaxial cable同轴电缆 cold site冷战 Commerce servers商业服务器Communication channel信道Communication systems信息系统Compact disc rewritable Compact disc光盘 computer abuse amendments act of 19941994计算机滥用法案 computer crime计算机犯罪 computer ethics计算机道德 computer fraud and abuse act of 1986计算机欺诈和滥用法案 computer matching and privacy protection act of 1988计算机查找和隐

半导体微电子专业词汇中英文对照

半导体微电子专业词汇中英文对照Accelerated testing 加速实验 Acceptor 受主 Acceptor atom 受主原子 Accumulation 积累、堆积 Accumulating contact 积累接触 Accumulation region 积累区 Accumulation layer 积累层 Acoustic Surface Wave 声表面波 Active region 有源区 Active component 有源元 Active device 有源器件 Activation 激活 Activation energy 激活能 Active region 有源(放大)区 A/D conversion 模拟-数字转换 Adhesives 粘接剂 Admittance 导纳 Aging 老化 Airborne 空载 Allowed band 允带 allowance 容限,公差

Alloy-junction device合金结器件Aluminum(Aluminum) 铝 Aluminum – oxide 铝氧化物 Aluminum Nitride 氮化铝 Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度 A M light 振幅调制光,调幅光amplitude limiter 限幅器 Amorphous 无定形的,非晶体的Amplifier 功放放大器 Analogue(Analog) comparator 模拟比较器Angstrom 埃 Anneal 退火 Anisotropic 各向异性的 Anode 阳极 Antenna 天线 Aperture 孔径 Arsenide (As) 砷 Array 阵列 Atomic 原子的 Atom Clock 原子钟

电子行业专业英语词汇

电子行业专业英语词汇 Document number:WTWYT-WYWY-BTGTT-YTTYU-2018GT

专用词汇表 一、生产流程 1. 插机(刮蓝胶)component- inserting 2. 装配 assembly 3. 修理repair 4. 包装 packaging 5. 成品仓 finished goods warehouse 6. 返工 rework 7. 啤胶件 8. 组件成型 component moulding 9. IC 烧录 IC program 10. 插件 insection 11. 注塑 plastic injection 12. 发料 material delivery 13. 插机 14. 零件加工 component-processing 15. 炉前外观检查 visual inspection before wave soldering 16. 电源板波峰焊 power board wave soldering 17. 执锡 18. 零件后焊 hand soldering 19. 零件焊接&打黄胶 20. 低电压测试 low voltage test 21. 半成品外观检查Semi-finished goods visual inspection 22. 过水洗机 23. 打热熔胶 dotting hot glue 24. 装卡板 25. pallet 栈板 26. IC 烧录 IC program 27. SMT(刮锡膏) 28. 喷油 spray conformal coating 29. 焗干防水油 30. welding 焊接 31. breathing 排气 32. feed 供料 33. Tiana 天那水

电子信息工程专业英语词汇(精华整理版)

transistor n 晶体管 diode n 二极管semiconductor n 半导体 resistor n 电阻器 capacitor n 电容器 alternating adj 交互的 amplifier n 扩音器,放大器integrated circuit 集成电路 linear time invariant systems 线性时不变系统voltage n 电压,伏特数 tolerance n 公差;宽容;容忍condenser n 电容器;冷凝器dielectric n 绝缘体;电解质electromagnetic adj 电磁的 adj 非传导性的 deflection n偏斜;偏转;偏差 linear device 线性器件 the insulation resistance 绝缘电阻 anode n 阳极,正极 cathode n 阴极 breakdown n 故障;崩溃 terminal n 终点站;终端,接线端emitter n 发射器 collect v 收集,集聚,集中insulator n 绝缘体,绝热器oscilloscope n 示波镜;示波器 gain n 增益,放大倍数 forward biased 正向偏置 reverse biased 反向偏置 P-N junction PN结 MOS(metal-oxide semiconductor)金属氧化物半导体 enhancement and exhausted 增强型和耗尽型 integrated circuits 集成电路 analog n 模拟 digital adj 数字的,数位的horizontal adj, 水平的,地平线的vertical adj 垂直的,顶点的amplitude n 振幅,广阔,丰富attenuation n衰减;变薄;稀薄化multimeter n 万用表 frequency n 频率,周率 the cathode-ray tube 阴极射线管 dual-trace oscilloscope 双踪示波器 signal generating device 信号发生器 peak-to-peak output voltage 输出电压峰峰值sine wave 正弦波 triangle wave 三角波 square wave 方波 amplifier 放大器,扩音器 oscillator n 振荡器 feedback n 反馈,回应 phase n 相,阶段,状态 filter n 滤波器,过滤器 rectifier n整流器;纠正者 band-stop filter 带阻滤波器 band-pass filter 带通滤波器 decimal adj 十进制的,小数的hexadecimal adj/n十六进制的 binary adj 二进制的;二元的octal adj 八进制的 domain n 域;领域 code n代码,密码,编码v编码 the Fourier transform 傅里叶变换 Fast Fourier Transform 快速傅里叶变换microcontroller n 微处理器;微控制器assembly language instrucions n 汇编语言指令 chip n 芯片,碎片 modular adj 模块化的;模数的 sensor n 传感器 plug vt堵,塞,插上n塞子,插头,插销coaxial adj 同轴的,共轴的 fiber n 光纤relay contact 继电接触器 single instruction programmer 单指令编程器 dedicated manufactures programming unit 专 供制造厂用的编程单元 beam n (光线的)束,柱,梁 polarize v(使)偏振,(使)极化 Cathode Ray Tube(CRT)阴极射线管 neuron n神经元;神经细胞 fuzzy adj 模糊的 Artificial Intelligence Shell 人工智能外壳程序 Expert Systems 专家系统 Artificial Intelligence 人工智能 Perceptive Systems 感知系统 neural network 神经网络 fuzzy logic 模糊逻辑 intelligent agent 智能代理 electromagnetic adj 电磁的 coaxial adj 同轴的,共轴的 microwave n 微波 charge v充电,使充电 insulator n 绝缘体,绝缘物 nonconductive adj非导体的,绝缘的 antenna n天线;触角 modeling n建模,造型 simulation n 仿真;模拟 prototype n 原型 array n 排队,编队 vector n 向量,矢量 wavelet n 微波,小浪 sine 正弦cosine 余弦 inverse adj倒转的,反转的n反面;相反v 倒转 high-performance 高精确性,高性能 two-dimensional 二维的;缺乏深度的 three-dimensional 三维的;立体的;真实的 object-oriented programming面向对象的程序 设计 spectral adj 光谱的 attenuation n衰减;变薄;稀释 distortion n 失真,扭曲,变形 wavelength n 波长 refractive adj 折射的 ATM 异步传输模式Asynchronous Transfer Mode ADSL非对称用户数字线Asymmetric digital subscriber line VDSL甚高速数字用户线very high data rate digital subscriber line HDSL高速数据用户线high rate digital subscriber line FDMA频分多址(Frequency Division Multiple Access) TDMA时分多址(Time Division Multiple Access) CDMA同步码分多址方式(Code Division Multiple Access) WCDMA宽带码分多址移动通信系统(Wideband Code Division Multiple Access) TD-SCDMA(Time Division Synchronous Code Division Multiple Access)时分同步码分多址 SDLC(synchronous data link control)同步数据 链路控制 HDLC(high-level data link control)高级数据链路 控制 IP/TCP(internet protocol /transfer Control Protocol)网络传输控制协议 ITU (International Telecommunication Union) 国际电信联盟 ISO国际标准化组织(International Standardization Organization); OSI开放式系统互联参考模型(Open System Interconnect) GSM全球移动通信系统(Global System for Mobile Communications) GPRS通用分组无线业务(General Packet Radio Service) FDD(frequency division duplex)频分双工 TDD(time division duplex)时分双工 VPI虚路径标识符(Virtual Path Identifier); ISDN(Integrated Services Digital Network)综 合业务数字网 IDN综合数字网(integrated digital network) HDTV (high definition television)高清晰度电视 DCT(Discrete Cosine Transform)离散余弦变换 VCI(virtual circuit address)虚通路标识 MAN城域网Metropolitan area networks LAN局域网local area network WAN广域网wide area network 同步时分复用STDM Synchronous Time Division Multiplexing 统计时分复用STDM Statistical Time Division Multiplexing 单工传输simplex transmission 半双工传输half-duplex transmission 全双工传输full-duplex transmission 交换矩阵Switching Matrix 电路交换circuit switching 分组交换packet switching 报文交换message switching 奇偶校验parity checking 循环冗余校验CRC Cyclic Redundancy Check 虚过滤Virtual filter 数字滤波digital filtering 伪随机比特Quasi Random Bit 带宽分配Bandwidth allocation 信源information source 信宿destination 数字化digitalize 数字传输技术Digital transmission technology 灰度图像Grey scale images 灰度级Grey scale level 幅度谱Magnitude spectrum 相位谱Phase spectrum 频谱frequency spectrum 智能设备Smart Device 软切换Soft handover 硬切换Hard Handover 相干检测Coherent detection 边缘检测Edge detection 冲突检测collision detection 业务集合service integration 业务分离/综合service separation/ integration 网络集合network integration 环形网Ring networks 令牌环网Token Ring network 网络终端Network Terminal 用户终端user terminal 用户电路line circuit 电路利用率channel utilization(通道利用率) 相关性coherence 相干解调coherent demodulation 数字图像压缩digital image compression 图像编码image encoding 有损/无损压缩lossy/lossless compression 解压decompression 呼叫控制Call Control 误差控制error control 存储程序控制stored program control 存储转发方式store-and-forward manner 语音\视频传输voice\video transmission 视频点播video-on-demand(VOD) 会议电视Video Conference 有线电视cable television 量化quantization 吞吐量throughput 话务量traffic 多径分集Multipath diversity 多媒体通信MDM Multimedia Communication 多址干扰Multiple Access Interference 人机交互man machine interface 交互式会话Conversational interaction

微电子专业英语词汇

A Abrupt junction 突变结Accelerated testing 加速实验Acceptor 受主 Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Active region 有源区 Active component 有源元Active device 有源器件Activation 激活 Activation energy 激活能Active region 有源(放大)区Admittance 导纳 Allowed band 允带 Alloy-junction device 合金结器件 Aluminum(Aluminium) 铝Aluminum – oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器 Angstrom 埃 Anneal 退火 Anisotropic 各向异性的 Anode 阳极 Arsenic (AS) 砷 Auger 俄歇 Auger process 俄歇过程Avalanche 雪崩 Avalanche breakdown 雪崩击穿Avalanche excitation 雪崩激发B brute-force attack 强力攻击Background carrier 本底载流子Background doping 本底掺杂Backward 反向 Backward bias 反向偏置Ballasting resistor 整流电阻 Ball bond 球形键合 Band 能带 Band gap 能带间隙Barrier 势垒 Barrier layer 势垒层 Barrier width 势垒宽度 Base 基极 Base contact 基区接触 Base stretching 基区扩展效应 Base transit time 基区渡越时间 Base transport efficiency 基区输 运系数 Base-width modulation 基区宽度 调制Basis vector 基矢 Bias 偏置 Bilateral switch 双向开关 Binary code 二进制代码 Binary compound semiconductor 二元化合物半导体 Bipolar 双极性的 Bipolar Junction Transistor (BJT) 双极晶体管 Bloch 布洛赫 Blocking band 阻挡能带 Blocking contact 阻挡接触 Body - centered 体心立方 Body-centred cubic structure 体 立心结构 Boltzmann 波尔兹曼 Bond 键、键合 Bonding electron 价电子 Bonding pad 键合点 Bootstrap circuit 自举电路 Bootstrapped emitter follower 自 举射极跟随器 Boron 硼 Borosilicate glass 硼硅玻璃 Boundary condition 边界条件 Bound electron 束缚电子 Breadboard 模拟板、实验板 Break down 击穿 Break over 转折 Brillouin 布里渊 Brillouin zone 布里渊区 Built-in 内建的 Build-in electric field 内建电场 Bulk 体/ 体内 Bulk absorption 体吸收 Bulk generation 体产生 Bulk recombination 体复合 Burn - in 老化 Burn out 烧毁 Buried channel 埋沟 Buried diffusion region 隐埋扩散 区 C Caesar cipher 凯撒加密法 capacitance 电容 capture categorize 分类 chaining mode 链接模式 challenge 质询 cipher feedback 加密反馈 collision 冲突 combine 集成 compatibility n.[计]兼容性 component 原件 confidentiality 保密性 constraint 约束 corresponding to 相应的 Cryptography 密码学 Can 外壳Capacitance 电容 Capture cross section 俘获截面 Capture carrier 俘获载流子 Carrier 载流子、载波 Carry bit 进位位 Carry-in bit 进位输入 Carry-out bit 进位输出 Cascade 级联 Case 管壳 Cathode 阴极 Center 中心 Ceramic 陶瓷(的) Channel 沟道 Channel breakdown 沟道击穿 Channel current 沟道电流 Channel doping 沟道掺杂 Channel shortening 沟道缩短 Channel width 沟道宽度 Characteristic impedance 特征阻 抗 Charge 电荷、充电 Charge-compensation effects 电 荷补偿效应 Charge conservation 电荷守恒 Charge neutrality condition 电中 性条件 Charge drive/exchange/sharing/transfer/st 1

计算机常用英语词汇大全

、 计算机常用英语词汇大全 CPU(Center Processor Unit)中央处理单元 mainboard主板 RAM(random access memory)随机存储器(内存) ROM(Read Only Memory)只读存储器 Floppy Disk软盘 Hard Disk硬盘 CD-ROM光盘驱动器(光驱) , monitor监视器 keyboard键盘 mouse鼠标 chip芯片 CD-R光盘刻录机 HUB集线器 Modem= MOdulator-DEModulator,调制解调器 P-P(Plug and Play)即插即用 , UPS(Uninterruptable Power Supply)不间断电源 BIOS(Basic-input-Output System)基本输入输出系统 CMOS(Complementary Metal-Oxide-Semiconductor)互补金属氧化物半导体

setup安装 uninstall卸载 wizzard向导 OS(Operation Systrem)操作系统OA(Office AutoMation)办公自动化、 exit退出 edit编辑 copy复制 cut剪切 paste粘贴 delete删除 select选择 find查找 · select all全选 replace替换 undo撤消 redo重做 program程序 license许可(证) back前一步 next下一步

] finish结束 folder文件夹 Destination Folder目的文件夹 user用户 click点击 double click双击 right click右击 settings设置 … update更新 release发布 data数据 data base数据库 DBMS(Data Base Manege System)数据库管理系统view视图 insert插入 object对象 ; configuration配置 command命令 document文档 POST(power-on-self-test)电源自检程序 cursor光标

相关主题