搜档网
当前位置:搜档网 › 最新现代电子系统设计-EDA教案

最新现代电子系统设计-EDA教案

最新现代电子系统设计-EDA教案
最新现代电子系统设计-EDA教案

目录

“现代电子系统设计”课程教学大纲 ................................................................................. - 1 - 湖南农业大学课程教学周历............................................................................................... - 1 - “现代电子系统设计”教案 ................................................................................................. - 1 - 第一次课........................................................................................................................ - 1 - 第二次课........................................................................................................................ - 3 - 第三次课........................................................................................................................ - 4 - 第四次课........................................................................................................................ - 6 - 第五次课........................................................................................................................ - 8 - 第六次课...................................................................................................................... - 10 - 第七次课....................................................................................................................... - 11 - 第八次课...................................................................................................................... - 13 - 第九次课...................................................................................................................... - 15 -

“现代电子系统设计”课程教学大纲

课程编号:31120B0(四号宋体)

学时:40 学分:2

适用对象:高等院校信息工程专业、通信专业、电子信息专业、计算机专业、自动化专业本科高年级学生。

先修课程:数字电路、C语言

考核要求:考核,理论成绩50%,实验成绩50%

使用教材及主要参考书:

谭会生主编,《EDA技术及应用》(第二版),西安电子科技大学出版社,2004

谭会生主编,《EDA技术综合应用实例与分析》,西安电子科技大学出版社,2004

黄任主编,《VHDL入门·解惑·经典实例·经验总结》,北京航空航天大学出版社,2005

西安唐都科教仪器开发有限责任公司主编,《TD-EDA 实验系统SOPC 技术实验教程》,2005

一、课程的性质和任务

本课程是电子信息和通信类专业的专业技术选修课程,要求学生通过该课程的学习,初步掌握基于EDA技术的电子线路设计方法、数字系统设计方法以及FPGA和CPLD 的设计方法;了解常用硬件描述语言的使用特点、编程方法和仿真测试技术,掌握一种常用EDA工具软件Quartus Ⅱ和一种主流硬件描述语言:VHDL,能使用Quartus Ⅱ和VHDL进行复杂数字系统的设计。

EDA技术与VHDL作为一门技术基础课和现代电子设计技术的先修课程,与许多的后继专业课有紧密的联系,如大规模集成电路设计、ASIC设计、单片系统(SOC)设计、IP核设计技术、微电机系统(MEMS)设计以及DNA生物芯片设计等。对学生而言,不论今后是继续通讯类研究生学习,还是从事芯片设计工作,都是一门非常必要的课程。

二、教学目的与要求

教学目的:使学生了解现代电子设计技术、可编程技术,培养运用硬件描述语言VHDL和EDA工具设计实现复杂数字系统的技能。

教学要求:

1.掌握主流硬件描述语言:VHDL语言

2.掌握一种主流EDA工具软件:Quartus II

3.掌握TD-EAD实验系统的使用

4.能独立完成基本电子系统的设计

四、教学中应注意的问题

本课程是实践性很强的课程,要特别注意理论与实践的结合,多进行上机实践,才能学好这么课程。在教学中要注意抓住一个重点:VHDL的编程;掌握两个工具:Quartus II 和TD-EAD实验系统;通过案例分析、应用设计和上机实践,实现理论与实践相结合,边学边用,边用边学,只有这样才能学好这门课程。

五、教学内容

第一章:绪论

1.基本内容:

介绍EDA技术的涵义、发展历程和应用领域;介绍EDA技术的主要内容;说明EDA的工程设计流程。说明本课程的特点与学习方法。

2.教学基本要求:

1)理解EDA技术的涵义。

2)了解EDA技术的发展背景,理解EDA技术的三个发展阶段以及各阶段的特点。

3)理解EDA技术进行电子系统设计的特点,在此基础上理解EDA的定义和EDA

技术的主要内容。

4)了解常用的硬件描述语言:VHDL和Verilog

5)了解两种常用的大规模可编程逻辑器件FPGA和CPLD以及它们各自的特点

6)了解主流EDA工具软件

7)了解本课程使用的西安唐都公司的TD-EAD实验系统

8)理解EDA的工程设计流程。

9)理解本课程的特点与学习方法。

3.教学重点难点:

EDA技术的三个发展阶段以及各阶段的特点,EDA的定义和EDA技术的主要内容,EDA的工程设计流程。

4.教学建议:

根据EDA技术发展的历程,指出各阶段解决了哪些问题,还存在哪些问题,这样学生能清楚的了解三个发展阶段特点。在此基础上说明使用EDA技术进行电子系统设计的特点,学生就很容易理解了,对这些特点进行总结,就是EDA的定义和EDA技术的主要内容。

通过画流程图说明EDA的工程设计流程,同时在下一章讲解使用Quartus Ⅱ进行FPGA设计的基本流程时,进一步巩固该知识点,并通过实验加深学生的理解。

第二章:Quartus Ⅱ与VHDL 语言

1. 基本内容:

主流EDA工具Quartus Ⅱ的安装和使用。VHDL语言程序的基本结构、语言要素、VHDL并行信号赋值语句和进程语句、VHDL顺序语句。使用VHDL语言完成基本数字电路的设计、编译、仿真和下载。

2. 教学基本要求:

a)理解VHDL语言的特点。

b)了解主流EDA工具Quartus Ⅱ,掌握其安装方法。

c)掌握使用Quartus Ⅱ进行FPGA设计的基本流程。

d)掌握VHDL语言程序的基本结构,特别是实体和结构体。

e)掌握VHDL语言的语言要素,包括VHDL语言的基本数据类型、数据对象和

VHDL 运算符,理解信号和变量的区别。

f)掌握VHDL语言的并行信号赋值语句,特别是选择信号赋值语句和条件信号赋

值语句,理解条件信号赋值语句的各赋值子句的优先级差别。

g)掌握进程语句,掌握进程的工作原理和特点,理解进程与时钟的关系。

h)掌握VHDL语言的顺序语句,特别是IF 语句和CASE语句,理解IF、CASE语

句和选择信号赋值语句、条件信号赋值语句的区别。

i)掌握VHDL程序设计方法,能在Quartus Ⅱ环境下,使用VHDL语言进行门电

路、编码器和译码器、寄存器等常用数字电路的设计、编译、仿真和下载。3.教学重点难点:

Quartus Ⅱ是进行FPGA/CPLD设计最常用的EDA工具,掌握使用Quartus Ⅱ进行FPGA/CPLD设计的流程是后面教学和实验的基础,是本章的重点。另外进行FPGA/CPLD的设计涉及硬件,与学生熟悉的软件开发平台不同,特别是器件选择和配置、仿真和下载,这些是学生首次接触的,这也是本章的教学难点。

VHDL语言程序的基本结构、基本语言要素、基本语句是学习VHDL语言的基础,是本章重点。特别时进程语句是最具VHDL语言特色的语句,它提供了一种用算法描述硬件行为的方法,是使用最多的VHDL语句之一。掌握进程语句的特点,熟练运用进程语句和其它基本语句进行组合和时序逻辑电路设计是本章的重点,也是本章的难点。

学生此时是初次使用VHDL语言和EDA工具,而它们与学生熟悉的VC等程序语言和开发环境有很大不同,因此掌握VHDL程序设计方法,在Quartus Ⅱ环境下,使用VHDL进行数字电路的设计、编译和下载是本章的一个难点。

4.教学建议:

理论教学要紧密结合实验教学,通过在实验课上指导学生一步步完成一个简单的Quartus Ⅱ工程的建立、编译、仿真和下载,掌握使用Quartus Ⅱ进行FPGA/CPLD设计的流程,同时学习TD-EDA 实验系统。

VHDL的基本语句,常用语句如选择信号赋值语句和条件信号赋值语句、进程语句、IF 语句和CASE语句等都是通过实验课来加强学生对它们的理解,只有通过上机练习才能使学生尽快掌握VHDL语言。

如果条件允许,可以改为小班上课,在实验室中进行实例讲解部分的理论教学,由学生跟随老师的实例讲解在台下一步步完成一个个VHDL设计实例,从而加深印象,加强动手能力。

第三章:系统层次化设计

1.基本内容:

层次化设计概念,在Quartus II 中实现层次化设计,元件例化、程序包和类属映射。

2. 教学基本要求:

1)理解层次化设计的优点。

2)理解层次化设计的核心思想:模块化、元件重用。

3)掌握在Quartus II 中采用图形法与文本法结合的混合输入方法实现元件重用和

系统的层次化设计。

4)理解元件例化、程序包和类属映射。

3.教学重点难点:在Quartus II 中实现层次化设计

4.教学建议:

结合实验五时钟选择器实验来学习如何在Quartus II 中实现层次化设计。通过在实验课上指导学生完成模块划分、元件设计文件的建立、元件符号的生成、元件调用、LPM 宏模块的调用与参数配置、顶层电路原理图的建立,最终在Quartus II完成一个复杂数字系统的层次化设计。通过实验课,使学生掌握在Quartus II 中采用图形法与文本法结合的混合输入方法实现元件重用和系统的层次化设计。

第四章:状态机在VHDL中的实现

1.基本内容:

Moore 状态机的VHDL 描述,Mealy 状态机的VHDL 描述,用状态机实现交通灯控制器

2. 教学基本要求:

1)掌握Moore 状态机的VHDL 描述。

2)掌握Mealy 状态机的VHDL 描述。

3)掌握使用状态机实现数字系统设计的方法。

3.教学重点难点:

状态机是一类很重要的时序电路,是许多数字电路的核心部件,同时有限状态机是一种复杂的数字系统,因此有限状态机的设计是本章的重点和难点。

4.教学建议:

结合实验六:使用状态机实现交通灯控制实验,来加深对有限状态机设计的理解。

执笔人:任峻

审核人:

分管教学院长:

2007年2月20日

湖南农业大学课程教学周历

2007-2007学年度第二学期计算机与信息工程院信息工程专业三年级60人

课程名称《现代电子系统设计》学时分配:总学时44 其中:讲授24 实验20 实习

课堂讨论课程论文作业考试(考查) 考查

主讲教师任峻实验课教师任峻辅导教师任峻

教研室主任张红燕院系负责人张林峰日期2007-3-10

注:本表由主讲教师在开学后第一周内填写好一式三份,第二周分存于任课教师、系(教研室)、教务处(以院、系为单位)

“现代电子系统设计”教案

第一次课

内容:

1)介绍EDA技术的涵义、发展历程和应用领域;

2)介绍EDA技术的主要内容;

3)介绍EDA的工程设计流程;

4)说明本课程的特点与学习方法。

教学目的:

1)通过介绍EDA技术的涵义、发展历程和应用领域,使学生了解本课程的实

际应用很大,调动学生学习这门课程的积极性

2)通过介绍EDA技术的主要内容,使学生了解这门课程要学习什么。在此基

础上说明本课程的特点与学习方法。

3)说明各种通信系统的组成,了解它们的优缺点,出现背景。重点说明数字通

信系统的特定和优点。

4)介绍EDA的工程设计流程,说明当前EDA设计的特点,用软件方式设计

硬件,用软件方式设计的系统到硬件系统的转换是由有关开发软件自动完成

的,因此类似软件编程,不需太多的低层硬件知识,使学生克服畏难情绪。

教学重点、难点:

1)EDA技术的三个发展阶段以及各阶段的特点;

2)EDA的定义和EDA技术的主要内容;

3)EDA的工程设计流程。

教学方法:

比较、举例、图解。

参考书目与资料:略

教学过程:

(一)自我介绍,说明课时安排、成绩评定方法、课程定位、教学网站的进入。

(二)讲授新课

课堂教学实施过程共分六步。

1)介绍EDA技术的涵义。

2)说明EDA技术的发展背景,说明EDA技术的三个发展阶段,比较三个阶

段的各解决了什么问题,在此基础上理解各阶段的特点。

3)在第二步理解EDA技术进行电子系统设计的特点的基础上引出并详细说明

EDA的定义,加深对EDA技术的涵义的理解。

4)在第三步详细说明EDA的定义的基础上,引出EDA技术的4个主要内容:

硬件描述语言:设计的主要表达手段;大规模可编程逻辑器件:设计的载体;

软件开发工具:设计的工具;实验开发系统:下载工具及硬件验证工具。再

分别介绍EDA技术的4个主要内容:了解常用的硬件描述语言VHDL和

Verilog;了解两种常用的大规模可编程逻辑器件FPGA和CPLD以及它们

各自的特点;了解主流EDA工具软件;了解本课程使用的西安唐都公司的

TD-EAD实验系统

5)说明课程要求:通过学习这门课程要掌握运用EDA开发工具设计开发电子

系统,引出这门课程的特点:实践性强,说明我们的学习方法:抓住一个重

点:VHDL的编程;掌握两个工具:Quartus II 和TD-EAD实验系统;运

用三种手段:通过案例分析、应用设计和上机实践,实现理论与实践相结合,边学边用,边用边学。

6)用设计一个简单电子系统为例,引出EDA的工程设计流程。说明当前EDA

技术发展的特点:用软件方式设计硬件;用软件方式设计的系统到硬件系统

的转换是由有关开发软件自动完成的;设计过程中可用有关软件进行各种仿

真;系统可现场编程,在线升级;整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。现代EDA设计类似软件编程,不需太多的低层硬件知

识,使学生克服畏难情绪。同时这里又回顾复习了使用EDA技术进行电子

系统设计的特点。最后图解说明EDA的工程设计流程。

教学后记:课程的第一堂课至关重要,一定要让学生对整门课的学习有个系统的了解。学生需要了解为什么要上这门课,这门课到底要学什么,学了有什么用以及如何学习这门课。学生要对这门课有整体的了解,掌握这门课的难度,使学生既有学习的动力,又不会产生畏难情绪。特别是这门课比较难,因此学生容易产生畏难情绪,因此一定要提高他们的学习兴趣、克服他们的畏难情绪。在第一次课不能讲太快,语速要适中,不要讲太深,特别是不能提太多的专业词汇(提了一定要解释)。要多用图解,而不是文字说明,这使学生有更直观的印象。通过课后交流,学生反映这堂课听得很轻松,他们欢迎这些方式。

内容:安装Quartus Ⅱ,VHDL 与Quartus Ⅱ初体验

教学目的:

1)理解VHDL语言的特点。

2)了解主流EDA工具Quartus Ⅱ,掌握其安装方法。

3)掌握使用Quartus Ⅱ进行FPGA设计的基本流程。

教学重点、难点:使用Quartus Ⅱ进行FPGA设计的基本流程。

教学方法:

举例、演示。

参考书目与资料:略

教学过程:

课堂教学实施过程共分两步。

1)回顾上节课所讲的EDA的主要内容。在此基础上引出VHDL语言的特点,

并介绍主流EDA开发工具Quartus Ⅱ,说明它的不同版本的特点。重点介

绍PC破解版的安装步骤。演示安装过程,在演示是重点提醒学生注意容易

出错的几个地方。

2)回顾上节课所讲的当前EDA技术发展的特点,EDA的工程设计流程。在

此基础上说明使用Quartus Ⅱ进行FPGA设计的基本流程,并通过实际演

示设计一个简单的电子系统:与非门,说明使用Quartus Ⅱ和VHDL开发

电子系统的基本流程。在演示过程中重点提醒学生注意容易出错的几个地

方,并给出一些初学者的小窍门。

教学后记:掌握使用Quartus Ⅱ进行FPGA/CPLD设计的流程是EDA设计的基础,因此必须熟悉Quartus Ⅱ设计的流程,这是个实践性非常强问题,因此我们通过实际演示设计过程来说明,这样更容易掌握。上午上理论课,下午的实验就进行安装Quartus Ⅱ和基本门电路实验,学生在实际操作中熟悉掌握了设计流程。这里要注意理论课和实验课时间安排,不要相隔太久。课后学生反映我们这种时间安排非常好,有效的加深了学生的印象。

内容:VHDL 程序基本结构,VHDL 基本数据类型,VHDL 数据对象

教学目的:

1)掌握VHDL语言程序的基本结构,特别是实体和结构体。

2)掌握VHDL语言的语言要素,包括VHDL语言的基本数据类型、数据对象

和VHDL 运算符,理解信号和变量的区别。

教学重点、难点:VHDL语言程序的基本结构,VHDL语言的语言要素。

教学方法:举例、演示、归纳、比较。

参考书目与资料:略

教学过程:

课堂教学实施过程共分三步。

1) VHDL 程序基本结构

回顾上节课设计与非门的例子,引出设计与非门的设计思想:先确定系统功能和接口,再进行内部电路设计,由此引出VHDL程序的基本结构:实体:对应系统接口,结构体:对应系统内部电路。从与非门的VHDL程序中可以直观的看到它的三部分:库、程序包的调用,实体声明,结构体定义。

以与非门的VHDL程序为例说明库、程序包的调用的作用。说明调用语法,介绍常用的三个库、程序包。

以与非门的VHDL程序为例说明实体声明的语法,各项的意义。提醒学生注意初学者容易出错的地方,如:实体名必须与文件名相同,否则编译会出错;最后一条端口声明语句不可加分号等。并通过演示出错情况,加深学生的印象。最后通过图解归纳实体声明的格式,容易出错的地方,并对初学者进行相应的建议:使用范例,在Edit—> Insert Template 中选择范例。Quartus 进行编译时,要求关联文件文件名相同,建议采用Quartus 默认文件名,不要自己更改文件名。

以与非门的VHDL程序为例说明结构体的语法,各项的意义。提醒学生注意初学者容易出错的地方。并通过演示出错情况,加深学生的印象。最后通过图解归纳结构体的格式,应注意的地方。

2) VHDL 基本数据类型

回到与非门的VHDL程序的实体声明部分,引出VHDL的数据类型。介绍各种数据类型,重点介绍最常用的标准逻辑位、标准逻辑位矢量。提醒学生注意使用标准逻辑位矢量时必须注明数组中元素个数和排列方向,并举例说明。最后比较VHDL和C语言中使用数据类型的异同点:VHDL同C 语言一样,必须先声明端口和信号,然后才能使用,在声明中必须定义它们的数据类型;与 C 语言不同,VHDL是强类型语言,只有相同数据类型的端口、信号和操作数才能相互作用。

3) VHDL 数据对象

介绍VHDL的数据对象:信号、变量和常量。以与非门的VHDL程序为例,通过图解比较说明信号和端口的异同点。说信号声明的格式和信号赋值语句的格式。重点说明矢量赋值,通过举例说明在矢量赋值要特别注意两矢量之间的元素对应关系。

说明定义变量的语法格式、变量赋值的语法格式。比较信号和变量的区别。最后介绍定义常量的语法格式。

教学后记:略。

第四次课

内容:VHDL 运算符、VHDL 并行信号赋值语句。

教学目的:

1)掌握VHDL 运算符。

2)掌握VHDL语言的并行信号赋值语句,特别是选择信号赋值语句和条件信

号赋值语句,理解条件信号赋值语句的各赋值子句的优先级差别。

教学重点、难点:并置运算符“ & ”、选择信号赋值语句、条件信号赋值语句。

教学方法:举例、演示、图解。

参考书目与资料:略

教学过程:

课堂教学实施过程共分四步。

1)分别介绍VHDL的四种运算符:算术运算符、并置运算符、关系运算符、

逻辑运算符。对算术运算符重点说明能支持的数据类型和不能支持的数据

类型。并置运算符是本课程的一个难点,通过一个电路设计,举例说明并

置运算符的应用。关系运算符重点说明能支持的数据类型。提醒学生注意:

“<=”在条件语句中出现为小于等于,其它情况为信号赋值。逻辑运算符

重点说明其优先级关系。

2)并行语句是硬件描述语言区别于一般软件程序语言的最显著的特点之一。

通过图解说明常用并行语句特点,从图中直观的看到:并行语句之间是并

行执行,但并行语句内部的执行可以是顺序的(进程语句),也可以是并行

的(块语句)。

3)介绍并行信号赋值语句:简单信号赋值语句、选择信号赋值语句、条件信

号赋值语句。给出选择信号赋值语句格式,并通过设计3-8 线译码器,说

明如何使用选择信号赋值语句。提醒学生注意容易出错的几点:“选择值”

一定要覆盖所有可能情况,若不可能一一指定,则要借助others为其它情

况找个“出口”。因此强烈建议初学者使用with … select 语句时,最后都

带上when … others。“选择值”必须互斥,不能出现条件重复或重叠的

情况。给元素赋常数值,用单引号;给矢量赋常数值,用双引号。通过演

示出错情况,加深学生的印象。

4)给出条件信号赋值语句格式,并通过设计8-3 线优先编码器,说明如何使

用条件信号赋值语句。提醒学生注意容易:在执行When … Else 语句时,

赋值条件按书写的先后顺序逐项测试,一旦发现某一赋值条件得到满足,

即将相应表达式的值赋给目标信号,并不再测试下面的赋值条件。即各赋

值子句有优先级的差别,按书写的先后顺序从高到低排列。通过观察仿真

结果,直观的看到赋值优先级,加深学生的印象。

教学后记:略。

第五次课

内容:进程语句、VHDL语言的顺序语句。

教学目的:

1)掌握进程语句,掌握进程的工作原理和特点,理解进程与时钟的关系。

2)掌握VHDL语言的顺序语句,特别是IF 语句和CASE语句,理解IF、CASE

语句和选择信号赋值语句、条件信号赋值语句的区别。

教学重点、难点:进程的工作原理和特点,进程与时钟的关系。IF 语句和CASE 语句,IF 语句和CASE语句的嵌套。

教学方法:图解、举例、总结。

参考书目与资料:略

教学过程:

课堂教学实施过程共分四步。

1)介绍进程语句的特点、格式,说明各项意义。图解说明进程工作原理,通

过该图直观的理解进程的特点:进程本身是并行语句,单其内部是顺序语

句;进程只有在特定的时刻(敏感信号发生变化)才会被激活。在此基础

上说明进程与时钟的关系。通过图解说明时钟上升沿驱动进程语句,使学

生较好的理解了进程的时钟和进程是一种隐形的循环。给出时钟沿的

VHDL描述,通过几个寄存器的设计,说明如何使用进程语句,通过观察

波形仿真图,加深学生对进程与时钟的关系的理解。最后总结进程的要点

和容易出错的几个地方。

2)介绍顺序语句,提醒学生注意进程在激活的一瞬间就执行完进程中所有语

句。重点介绍IF 语句和CASE 语句。给出IF 语句的三种格式,说明它们

的特点。在此基础上总结使用IF 语句注意的要点。最后通过设计串行输

入并行输出的移位寄存器和并行输入串行输出的移位寄存器,加深学生对

IF语句、IF语句嵌套的理解。

3)给出CASE 语句的格式,说明CASE 语句使用要点。最后通过设计带使能

端的2-4 译码器,加深学生对IF语句、IF语句嵌套的理解。

4)介绍LOOP语句和空语句。

教学后记:进程语句是最具VHDL语言特色的语句,它提供了一种用算法描述硬件行为的方法,是使用最多的VHDL语句之一。掌握进程语句的特点,熟练运用进程语句和其它基本语句进行组合和时序逻辑电路设计是本章的重点和难点。在使用进程语句中最难理解的是时钟,我们通过图解说明进程的工作原理过程,使学生较好的理解了进程的时钟和进程是一种隐形的循环。课后学生反映较好。由于设计进程需要一定的数字电路知识,部分学生对进程和时钟仍较难理解,这还需要通过不断的上机实验、编程才能掌握。

第六次课

内容:层次化设计概念、在Quartus II 中实现层次化设计

教学目的:

1)理解层次化设计的优点。

2)理解层次化设计的核心思想:模块化、元件重用。

3)掌握在Quartus II 中采用图形法与文本法结合的混合输入方法实现元件重

用和系统的层次化设计。

教学重点、难点:在Quartus II 中实现层次化设计。

教学方法:图解、举例、演示。

参考书目与资料:略

教学过程:

课堂教学实施过程共分三步。

1)说明层次化设计的优点。图解说明层次化设计的核心思想:模块化、元件

重用。加深对层次化设计的优点的理解。

2)图解说明在Quartus II 中实现系统层次化设计的主要步骤:首先按自顶向

下的设计方法,设计系统,划分和定义系统子模块,形成系统层次化设计

图。其次用VHDL 语言设计底层子模块,并生成相应的元件符号。最后调

用下层元件,完成上层模块的设计,并生成相应的元件符号。这样从底层

元件开始,自底向上完成系统的设计。

3)通过实际演示时钟选择器的设计过程,说明如何在Quartus II 中实现系统

层次化设计,主要包括模块划分、元件设计文件的建立、元件符号的生成、

元件调用、LPM宏模块的调用与参数配置、顶层电路原理图的建立。

教学后记:结合实验五时钟选择器实验来学习如何在Quartus II 中实现层次化设计。在实验课上指导学生完成模块划分、元件设计文件的建立、元件符号的生成、元件调用、LPM宏模块的调用与参数配置、顶层电路原理图的建立,最终在Quartus II 完成一个复杂数字系统的层次化设计。通过实验课,使学生掌握在Quartus II 中采用图形法与文本法结合的混合输入方法实现元件重用和系统的层次化设计。

第七次课

内容:系统层次化设计进阶

教学目的:理解元件例化、程序包和类属映射。

教学重点、难点:略

教学方法:图解、举例。

参考书目与资料:略

教学过程:

课堂教学实施过程共分四步。

1)回顾上节课的内容:在Quartus II 中实现层次化设计,并引出新问题:如

何用VHDL 语言而不是元件符号来调用已设计的元件,实现系统层次化设

计。由此引出元件例化、程序包和类属映射这几个层次化设计中最重要的

VHDL语句。

2)说明什么是元件例化:将以前设计的实体当作本设计的一个元件(元件定

义),然后再调用这个元件,即用VHDL语言将各元件之间的连接关系描述

出来(元件映射)。介绍元件例化中的元件定义和元件映射的语法格式,说

明各项意义。通过一个例子引用2分频电路,来说明如何使用元件例化。

3)通过图解说明元件例化语句的缺点:如果在一个实体中用到多个元件,那

么在其结构体中要用大量篇幅定义元件。元件定义在结构体中,只有这个

实体能调用该元件,如果有多个实体用到同一个元件,那么在这多个实体

中都要对该元件进行定义。通过对该问题的解决,引出程序包:将数据类

型、元件定义、子程序等收集到一个VHDL程序包中,只要在设计实体中

用USE语句调用该程序包,就可以使用这些预定义的数据类型、元件定义、

子程序。说明程序包的语法格式,通过举例说明如何在程序包中进行元件

定义以及如何应用程序包。提醒学生注意容易出错的地方:程序包设计文

件应保存为同名的VHDL文件并编译。只有编译过的程序包才能被其它设

计实体调用。

4)通过一个常见的实际问题:设计一个带参数的电子系统,使其应用范围更

广,引出类属映射语句。通过设计带参数的分频器,说明如何用VHDL 语

言设计和调用带参数的元件。

教学后记:这节为选讲内容,我们只介绍了语法和使用方法。

第八次课

内容:Moore 状态机的VHDL 描述、Mealy 状态机的VHDL 描述。

教学目的:理解什么是Moore 状态机和Mealy 状态机,掌握Moore 状态机和Mealy 状态机的VHDL 描述。

教学重点、难点:Moore 状态机和Mealy 状态机的VHDL 描述。

教学方法:图解、举例、类比。

参考书目与资料:略

教学过程:

课堂教学实施过程共分三步。

1)说明组合逻辑和时序逻辑的区别。用老式按钮风扇类比组合逻辑,空调遥

控器类比时序逻辑,形象的说明了组合电路的输出只与当前输入有关。时

序逻辑电路的输出不仅与当前输入有关,还与过去的一系列输入有关。由

此引出状态机:输出由当前状态和当前输入决定,是一种广义的时序电路。

状态机分Moore与Mealy型。说明这两种状态机的特点:Moore型输出仅

取决于其所处状态,Mealy型输出不仅与当前状态有关,也与当前输入有

关,更常见。举例说明Moore 型状态机,并画出其状态转移图,通过状态

转移图加强Moore型输出仅取决于其所处状态的理解。举例说明Mealy型

状态机,并画出其状态转移图,通过状态转移图说明Mealy型输出不仅与

当前状态有关,也与当前输入有关的特点。

2)以Moore状态机的状态转换图说明如何用VHDL来描述Moore状态机,分

为两个进程:确定状态转移的进程Chang_State,决定输出值的进程

Output_Process。状态转移进程:Moore 状态机状态转移与输出Dout 无关。

当S0状态输入0时,仍然还在S0状态,没有进行状态转移,因此也与这

种自环与状态转移无关。状态转移进程由去掉输出和自环后的状态转移图

决定。根据化简后的状态图写出状态转移进程。CASE语句嵌套IF语句,

CASE语句表示当前状态,IF语句表示在当前状态下,根据当前输入决定

状态转移。提醒学生注意:一定要有状态机开始工作的条件,否则状态机

永远不会工作。输出进程:在Moore状态机中输出只与当前状态相关,用

《EDA技术及应用》全套教学教案

单元一教学设计 教学内容: 单元一EDA技术 学习任务1 EDA技术 一、认识课程 二、认识EDA技术 三、认识EDA技术的基本特征 学习任务2 可编程逻辑器件芯片 一、认识可编程逻辑器件 二、CPLD基本结构 三、FPGA基本结构 四、Altera公司的可编程逻辑器件汇报总结 评价与考核

教学设计与建议 教学设计:通过学习和查阅资料了解EDA技术,了解EDA技术的基本特征,并熟悉可编程逻辑器件的种类。了解CPLD和FPGA基本结构,熟悉 Altera公司的可编程逻辑器件。 教学建议:建议学生查找EDA技术发展与可编程逻辑器件应用相关资料,进行总结制作PPT,并进行汇报。 知识目标: 1.了解EDA技术 2.了解EDA技术的基本特征 3.了解可编程逻辑器件的种类 4.了解CPLD基本结构 5.了解FPGA基本结构 6.了解Altera公司的可编程逻辑器件 教学重点及难点: 教学重点:可编程逻辑器件种类 教学难点:熟悉Altera公司的可编程逻辑器件 教学载体与资源: 教学资源:教材、PPT、实训室、多媒体设备。 教学方法建议: 讲授与讨论相结合,查阅资料总结汇报。 教学过程: 1.下达任务和要求 2. 教师带领学生共同解析任务 3.学生展开讨论

4.学生查阅资料 5. 总结汇报 考核评价: 1.根据知识掌握情况评价 2.根据资料查找能力和小组汇报情况评价 教学板书: 任务1:EDA技术 认识课程 1.EDA技术是什么? 2.为什么学习EDA技术? 3.EDA技术学什么? 4.EDA技术怎么学? 相关知识 1.认识EDA技术 EDA(Electronic Design Automation,电子设计自动化)技术是帮助电子设计工程师在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至PCB(印制电路板)的自动设计等。 2.认识EDA技术的基本特征 (1)“自顶向下”设计方法 (2)硬件描述语言 (3)逻辑综合和优化 (4)开放性和标准化 (5)库的引入

(整理)eda技术教案.

EDA技术教案 第一次课 内容: 1)介绍EDA技术的涵义、发展历程和应用领域; 2)介绍EDA技术的主要内容; 3)介绍EDA的工程设计流程; 4)说明本课程的特点与学习方法。 教学目的: 1)通过介绍EDA技术的涵义、发展历程和应用领域,使学生了解本课程的实 际应用很大,调动学生学习这门课程的积极性 2)通过介绍EDA技术的主要内容,使学生了解这门课程要学习什么。在此基 础上说明本课程的特点与学习方法。 3)说明各种通信系统的组成,了解它们的优缺点,出现背景。重点说明数字通 信系统的特定和优点。 4)介绍EDA的工程设计流程,说明当前EDA设计的特点,用软件方式设计 硬件,用软件方式设计的系统到硬件系统的转换是由有关开发软件自动完成 的,因此类似软件编程,不需太多的低层硬件知识,使学生克服畏难情绪。 教学重点、难点: 1)EDA技术的三个发展阶段以及各阶段的特点; 2)EDA的定义和EDA技术的主要内容; 3)EDA的工程设计流程。 教学方法: 比较、举例、图解。 教学过程: (一)自我介绍,说明课时安排、成绩评定方法、课程定位、教学网站的进入。 (二)讲授新课 课堂教学实施过程共分六步。

1)介绍EDA技术的涵义。 2)说明EDA技术的发展背景,说明EDA技术的三个发展阶段,比较三个阶 段的各解决了什么问题,在此基础上理解各阶段的特点。 3)在第二步理解EDA技术进行电子系统设计的特点的基础上引出并详细说明 EDA的定义,加深对EDA技术的涵义的理解。 4)在第三步详细说明EDA的定义的基础上,引出EDA技术的4个主要内容: 硬件描述语言:设计的主要表达手段;大规模可编程逻辑器件:设计的载体; 软件开发工具:设计的工具;实验开发系统:下载工具及硬件验证工具。再分别介绍EDA技术的4个主要内容:了解常用的硬件描述语言VHDL和Verilog;了解两种常用的大规模可编程逻辑器件FPGA和CPLD以及它们各自的特点;了解主流EDA工具软件;了解本课程使用的西安唐都公司的TD-EAD实验系统 5)说明课程要求:通过学习这门课程要掌握运用EDA开发工具设计开发电子 系统,引出这门课程的特点:实践性强,说明我们的学习方法:抓住一个重点:VHDL的编程;掌握两个工具:Quartus II 和TD-EAD实验系统;运用三种手段:通过案例分析、应用设计和上机实践,实现理论与实践相结合,边学边用,边用边学。 6)用设计一个简单电子系统为例,引出EDA的工程设计流程。说明当前EDA 技术发展的特点:用软件方式设计硬件;用软件方式设计的系统到硬件系统的转换是由有关开发软件自动完成的;设计过程中可用有关软件进行各种仿真;系统可现场编程,在线升级;整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。现代EDA设计类似软件编程,不需太多的低层硬件知识,使学生克服畏难情绪。同时这里又回顾复习了使用EDA技术进行电子系统设计的特点。最后图解说明EDA的工程设计流程。

EDA技术课程设计报告

课程设计任务书设计题目1:数码管显示数字钟设计

院(系)分管领导:教研室主任:指导教师: 2017年6月23日

目录 第1章引言 0 第2章电路原理 0 第3章程序设计 (1) 顶层模块设计 (2) 时钟分频模块设计 (2) 按键驱动模块设计 (2) 时钟计数模块设计 (3) 整点报时模块 (4) LED灯花样显示模块 (5) 数码管显示模块设计 (5) 第4章调试、测试分析及结果 (7)

调试 (7) 测试分析 (7) 结果 (9) 第5章小结 (10) 参考文献 (11) 附录电路图及程序 (11)

第1章引言 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL 或者Verilog HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 此次课程设计我们运用QuartusⅡ这个软件,使用Verilog HDL语言进行编程。 数字钟是一种用数字电路技术实现时、分、秒计时的钟表。与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,已得到广泛的使用。数字钟的设计方法有许多种,例如可用中小规模集成电路组成电子钟,也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟,还可以利用单片机来实现电子钟等等。这些方法都各有其特点,其中利用单片机实现的电子钟具有编程灵活,以便于功能的扩展。 本次课程设计要求利用EDA技术,设计一个数码管显示数字钟的样品,数字钟要求具备以下功能: 1、具有时、分、秒,计数显示功能,以24小时循环计时; 2、具有清零,调节小时、分钟功能; 3、具有整点报时功能,整点报时的同时LED花样显示。 第2章电路原理 数码管显示数字钟设计,运用到8位数码管,要求其中6位数码管动态显示,分别显示时、分、秒的计数,同时对时间进行设置,数字钟的总体功能按照要求可以分为基本的数字时钟显示(具有时、分、秒,计数显示功能,以24小时循环计时)、手动校准(具有清零,调节小时、分钟功能)、整点报时、LED灯花样显示

EDA课程设计

课程设计说明书 课程:EDA技术基础 题目:数字钟的设计 闹钟与整点报时模块 学生姓名:XXX 学号201265110204 班级 :1203班 专业:电子信息与科学 指导教师:XXX 2014年12月20日 长沙理工大学课程设计任务书 物理与电子科学学院电子信息与科学专业1203班姓名王玲课程名称EDA技术基础 题目数字钟的设计

长沙理工大学课程设计成绩评定表

目录 1数字钟设计闹钟模块基本任务要求4 2设计思想4 3简述闹钟模块的输入与输出5 4分进程描述6 5仿真结果与分析7 6简述数字钟的设计总成果10 7总结11 参考文献13 代码附件13

基本任务要求:运用QuartusⅡ13.1软件平台,用VHDL语言描述并设计的闹钟模块满足可调闹钟时间,当时钟时间到达闹钟时间后会响闹铃,(由于实验室权限问题会以FPGA开发板上12个LED灯交替发光来表现);整点报时过程表现为整点的前十秒内响铃,(以FPGA开发板上一个LED灯交替发光来实现)。 设计思想:闹钟模块要以分频模块、计数器模块和译码显示模块为基础,将闹钟模块分为三个进程,一个进程用来实现调闹钟,一个进程来实现闹响闹钟(即实现LED灯交替发光),另一个进程来是实现整点报时。 (上面截图为数字钟整体编译后的RTL电路的闹钟模块) 简述闹钟模块图的输入与输出:上图中的输入粗黑实线为计数器模块输出的小时、分钟的高低位和秒钟的高位(都用四位的二进制表示);输出的粗黑实线为定的闹钟时间(包括小时和分钟),将送到译码显示模块显示闹钟时间,闹钟时间与时钟都在FPGA上的数码管显示,用二选一实现交替显示。clk和clk1都是经过分频器分出的不同频率的信号分别用于整点报时的闪灯脉冲与闹钟调时、闹响的闪灯脉冲。

电子系统设计报告

课程设计实践报告 一、课程设计的性质、目的与作用 本次电子系统设计实践课程参照全国大学生电子设计模式,要求学生综合利用所学的有关知识,在教师的指导下,分析和熟悉已给题目,然后设计系统方案、画原理图及PCB、软件编程,并做出课程设计报告。因此,在设计中,要求学生应该全面考虑各个设计环节以及它们之间的相互联系,在设计思路上不框定和约束同学们的思维,同学们可以发挥自己的创造性,有所发挥,并力求设计方案凝练可行、思路独特、效果良好。 本课程设计的目的是为了让学生能够全面了解电子电路应用系统的整个设计过程,逐步掌握系统开发的以下相关技术: (1)熟悉系统设计概念; (2)利用所学数电、模拟电路知识,设计电路图; (3)利用PROTEL软件画原理图及PCB; (4)熟悉系统项目设计报告填写知识; (5)培养团队合作意识。 通过本课程设计,有助于学生更好地了解整个课程的知识体系,锻炼学生实际设计能力、分析和思考能力,使其理论与实践相结合,从而为后续课程的学习、毕业设计环节以及将来的实际工作打好坚实的基础。 二、课程设计的具体内容 电子系统设计实践课程就是锻炼学生系统设计、分析和思考能力,全面运用课程所学知识,发挥自己的创造性,全面提高系统及电路设计、原理图及PCB 绘画等硬件水平和实际应用能力,从而体现出电子系统设计的真谛。下面是各个设计阶段的具体内容。 1.系统方案认识 根据所设定的题目,能够给出系统设计方案与思路

题目:信号发生器产生电路,请设计一个能产生正弦波、方波及三角波电路,并制作原理图,然后阐述其原理。 基本原理: 系统框图如图1所示。 图1 低频信号发生器系统框图 低频信号发生器系统主要由CPU、D/A转换电路、基准电压电路、电流/电 压转换电路、按键和波形指示电路、电源等电路组成。 其工作原理为当分别按下四个按键中的任一个按键就会分别出现方波、锯齿 波、三角波、正弦波,并且有四个发光二极管分别作为不同的波形指示灯。2、各部分电路原理 (1)DAC0832芯片原理 ①管脚功能介绍(如图5所示) 图5 DAC0832管脚图 1) DI7~DI0:8位的数据输入端,DI7为最高位。

可编程逻辑器件与EDA技术实验教案

PLD实验教案电信学院通信系

序言 PLD实验是电信专业的一门专业实验课程,对电信专业的学生具有非常重要的作用。本实验课与理论课同时进行,与理论课有着较密切的联系。因此同学们在做本实验之前必须具备以下的基础知识: 1、数字电路的基础知识; 2、电子计算机常用操作系统的使用方法; 3、一定的英语基础; 4、必须有一定的理论知识做基础,与理论课同时进行。 PLD(可编程逻辑器件)是与ISP(在系统可编程)技术和EDA(电子设计自动化)工具紧密结合、同时进行的。它代表了数字电信领域的最高水平,给数字电路的设计带来了革命性的变化。从70年代第一片可编程逻辑器件PROM的诞生到现在的CPLD/FPGA,数字系统的设计发生了本质的变化。从传统的对电路板的设计到现在的基于芯片的设计,使得数字系统设计的效率大大提高,产品更新速度大大加快,设计周期大大变短。所以同学们学习本课程有着非常重要的意义。 本实验不同于其它实验,他的实验手段和实验方法都有了重大的变化,主要体现在以下几个方面: 首先:实验方法不同 本实验是在PC平台上,用原理图或文本进行输入,然后进行编译,通过之后再进行波形仿真,如有缺陷,再回过头去对源文件进行修改。其流程图如下: 其次:实验手段不同 本实验是利用ISP技术、采用EDA工具、应用PLD器件,在PC平台上进行的。第三、本实验课的目的 学生学习完本实验课后,应达到如下的要求: 1、能熟练使用本实验的配套EDA软件Mux+plusⅡ; 2、掌握PLD芯片的基本使用方法,能用现代数字系统的设计方法进行基本的数字 系统设计; 3、掌握图形编辑和VHDL文本编辑两种设计方法,重点是VHDL文本编辑; 4、具备基本的开发能力,为后续学习打下坚实的基础。

EDA技术实践课程设计--24进制计数器课件

东北石油大学EDA技术实践课程设计 课程EDA技术实践课程设计 题目24进制计数器 院系电气信息工程学院电气系 专业班级 学生姓名 学生学号 指导教师 年7月25日

EDA技术实践课程设计任务书 课程EDA技术实践课程设计 题目24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

现代电子系统设计与实践 复习资料

一、选择题 1、蓝色发光二极管正常工作时,其二端电压大约等于() A、1V B、2V C、3V D、4V 2、二极管由于省电,长寿,鲜艳而常被用来作指示,以下哪个工作电流是合适的?() A、0.5mA B、5mA C、50mA D、500mA 3、三极管在放大状态工作在什么区?() A、截止区 B、放大区 C、非线性区 D、饱和区 4、整流电源中的滤波电容的取值与负载有关,R*C取值?() A、>(2~5)T/2 B、>(2~5)T/2 C、C=1000uF D、随意 5、单晶体管由于其震荡的特有特性常可用于() A、放大特性 B、负阻特性 C、同步控制 D、震荡特性 6、我们经常可以看到,在电子产品中,有黑色的铝材,都是为了(C) A、美观 B、增加重量 C、便于散热 D、便于器件固定 7、运放工作正常的时候,其同相端和反相端的电压是() A、6V B、1/2Vcc C、1/3Vcc D、1/4Vcc 8、差分电路中的射极电阻可以提高放大器的() A、工模抑制比 B、差模电压增益 C、共模电压增益 D、输入信号的线性范围 9、反相器作放大器时,其上的反相电阻可以取() A、100欧 B、1千欧 C、100千欧 D、1兆欧 10、共发射极放大电路中,Uce取多少才合适() A、6V B、1/2 Vcc C、1/3Vcc D、1/4Vcc 11、为了改善组合逻辑电路由于竞争而出现冒险而影响后续电路的正常工作,下面哪项措施是不妥的() A、增加选通门 B、换滤波器 C、选高速器件 D、消除卡诺图中的相切相

12、用CMOS非门制作的晶体振荡器中,没有信号输出,最易疏忽的是() A、忘了换电容 B、震荡电容用了电解电容 C、忘了接反馈电阻 D、忘了接电容 13、设计多输出组合逻辑,既方便又经济的是采用() A、门电路 B、译码器 C、数据选择器 D、CPLD 14、普通的单电压比较器,左转换点,可能出现来回振荡现象,解决的办法是() A、提高比较电压 B、加负反馈 C、加正反馈 D、降低比较电压 15稳压二极管是利用它的()特性 A、稳压特性 B、非线性 C、发光原理 D、单向导电特性 16、高频放大器通常工作在() A、甲类 B、乙类 C、丙类 D、丁类 17、检波二极管是利用它的()特性 A、稳压特性 B、非线性 C、发光原理 D、单向导电特性 18、做实验时常常不小心把电源短路了,但也没发现电源坏了,那是因为() A、电源质量不好 B、有过压保护 C、有输出过载保护 D、运气好 19、OTL放大器通常工作在() A、甲类 B、乙类 C、丙类 D、丁类 20、检波电路的后缀如果输入阻抗不够大,可能会出现() A、惰性失真 B、滤波效果变差 C、限幅失真 D、负锋切割 21、在正交鉴频电路中,为了便于制作正交线圈,和降低成本,实际的正交线圈是一个() A、纯电感 B、晶体 C、并有合适的电容 D、并了个电阻 22、差分电路中的恒流源可以提高放大器的() A、工模抑制比 B、差模电压增益 C、共模电压增益 D、输入信号的线性范围 23、对于MCS-51系列单片机,内部RAM中堆栈指针SP的指针指向()

现代电力系统分析整理提纲

1 第一章 现代电力系统的主要特点, 电网互联的优点及带来的问题, 电力系统的运行状态及运行状态带来的好处。电力系统分析概述。 第二章 电力网络的基本概念 结点电压方程,关联矩阵, 用关联矩阵与支路参数确定结点电压方程,变压器和移向器的等值电路, 节点导纳矩阵, 第三章 常规潮流计算的任务、应用、, 对潮流计算的基本要求, 潮流计算的方法, 电力系统数学表述, 潮流计算问题的最基本方程式 潮流计算的借点类型, 节点功率方程及其表示形式, 潮流计算高斯赛德尔发。 牛顿拉弗逊法, 潮流计算的PQ分解法, 保留非线性潮流算法, 最小化潮流算法(潮流计算和非线性规划潮), 潮流计算的自动调整, PV节点无功功率越界的处理,PQ节点电压越界的处理,带负荷调压变压器抽头的调整,负荷特性的考虑,互联系统区域间交换功率控制 最优潮流计算 最优潮流和基本潮流的比较,最优潮流计算的算法,最优潮流的数学模型,(目标函数,约束条件),最优潮流计算的简化梯度算法,(迭代求解算法的基本要点),最优潮流的牛顿算法, 交直流电力系统的潮流计算 直流输电的应用 交直流电力系统的潮流计算的特点 交流系统和直流系统的分解 交流系统部分的模型 直流系统部分的模型 直流电力系统模型 直流系统标幺值,直流电力系统方程式,(换流站,及其控制方式) 交直流电力系统潮流算法 联合求解法和交替求解法 直流潮流数学模型 第四章故障类型及分析 双轴变换-派克变换及正交派克变换 两相变换-克拉克变换 顺势对称分量变换(120 +-0)对称分量变换 坐标变换的运用 网络方程网络中的电源模型 不对称短路故障的边界条件 短路故障通用复合序网 断线故障通用负荷序网 两端口网络方程 阻抗行参数方程(有源无源)导纳型参数方程(有源无源)混合型参数方程 复杂故障分析 第五章 状态的确定(状态估计 量测误差随机干扰测量装置在数量上或种类上的限制 电力系统状态估计的功能流程 对量测量的数量要求 状态估计与常规潮流计算比较 条件不同模型和方程数的不同求解的数学方法不同 电力系统运行状态的表征与可观察性 量测方程五种基本测量方式状态估计误差的原因高斯白噪声型的随机误差噪声响亮 电力系统状态的可观察性 最小二乘估计最小方差估计的概念 h(x)为线性函数时的最小二乘准则、h(x)为非线性函数时的最小二乘准则及步骤 快速解耦状态估计算法 支路潮流状态估计法 递推状态估计 追踪估计、估计的目标函数递推估计公式第六章 电力系统安全性 实时安全监控功能结构 安全性、稳定性和可靠性

EDA技术实践课程设计--24进制计数器

E D A技术实践课程设计 --24进制计数器 -CAL-FENGHAI.-(YICAI)-Company One1

东北石油大学EDA技术实践课程设计 年 7月 25日

EDA技术实践课程设计任务书 课程 EDA技术实践课程设计 题目 24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年 7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

EDA技术教学大纲

《EDA技术》课程教学大纲 学分:4 学时:64(讲课:32学时;实验:32学时) 适用专业:电子设备与运行管理、电子信息工程、通信工程。 教材:《EDA技术实用教程》潘松、黄继业编,科学出版社,2002年10月 开课院系:电子与信息技术系 第一章绪论 内容:EDA技术简介 重点:EDA的含义、范畴及发展现状 教学要求:对EDA具有初步了解 第二章EWB 内容:EWB软件的使用 重点:EWB的基本操作,EWB仪器、仪表的使用与电路设计,电路仿真常用分析方法 难点:电路仿真常用基本方法 实验:EWB的基本操作 教学要求:学会使用EWB电路仿真软件,初步能利用仿真软件对电路进行分析 第三章Protel 内容:原理图的绘制,PCB的设计 重点:SCH原理图和PCB电路板图的绘制 难点:PCB的设计 实验:原理图的绘制及PCB的设计 教学要求:掌握电路原理图的设计方法,学会使用Protel来设计PCB电路板,主要是掌握PCB的设计规则 第四章VHDL 内容:学会使用MAXPLUS II软件,了解VHDL编程语言,能进行至少一个电子技术综合问题的设计 重点:MAXPLUS II的使用,VHDL编程语言 难点:VHDL编程语言 实验:VHDL语言,电子技术综合实验 三、建议教材与参考书 1、《EDA技术基础》郭勇、许戈、刘豫东编,机械工业出版社,2001年7月 2、《EDA技术实用教程》潘松、黄继业编,科学出版社,2002年10月 四、说明 1、本课程的教学需由熟悉电子技术、EDA技术的教师担任,以满足理论和实践教学的

需要 2、本课程开设之前应开设《电子技术》课程。 3、本课程的教学理论教学和实践教学并重。 4、本课程的重点是使学员掌握常用的EDA软件及简单的应用系统开发 5、本教学大纲也适用于机电工程及自动化、机电一体化、工业自动化等专业。

EDA技术课程设计报告

课程设计任务书 设计题目1:数码管显示数字钟设计 学生姓名 课程名称EDA技术课程设计专业班级 地点起止时间2017年6月19日— 6月23日 设 计 内 容 硬件设计及样品制作 设计参数1、具有时、分、秒,计数显示功能,以24小时循环计时; 2、具有清零,调节小时、分钟功能; 3、具有整点报时功能,整点报时的同时LED花样显示。 设计进度1.2017年6月19日—20日查阅资料,确定设计方案 2.2017年6月21日—22日程序设计和硬件调试 3.2017年6月23日撰写课程设计报告,答辩 设计成果1. 设计说明书一份(不少于2000字); 2. 样品一套。 参考资料1.周润景等,基于Quartus II的FPGA/CPLD数字系统设计实例,电子工业 出版社,2010 2.夏宇闻,Verilog数字系统设计教程(第二版),北京航空航天大学出版 社,2014 说明1.本表应在每次实施前由指导教师填写一式2份,审批后所在系(部)和指导教师各留1份。2.多名学生共用一题的,在设计内容、参数、要求等方面应有所区别。3.若填写内容较多可另纸附后。 院(系)分管领导:教研室主任:指导教师: 2017年 6月 23日

EDA技术课程设计报告 目录 第1章引言 (1) 第2章电路原理 (2) 第3章程序设计 (3) 3.1 顶层模块设计 (3) 3.2 时钟分频模块设计 (4) 3.3 按键驱动模块设计 (4) 3.4 时钟计数模块设计 (4) 3.5 整点报时模块 (5) 3.6 LED灯花样显示模块 (7) 3.7 数码管显示模块设计 (7) 第4章调试、测试分析及结果 (10) 4.1 调试 (10) 4.2 测试分析 (10) 4.3 结果 (12) 第5章小结 (13) 参考文献 (14) 附录电路图及程序 (15)

EDA技术课程设计题目与任务

五课程设计题目与内容 1. 电子秒表设计 内容及要求: 完成具有多计数功能的秒表,并可将结果逐一显示在7 段数码管上,具体要求如下: (1)输入时钟10khz ,采用Altera EP1C6Q240C8 FPGA ; (2)异步、同步复位,计时精度1ms,最大计时240秒; (3)至少对 6 个目标计时,并可显示于7 段数码管,格式为xxx.yyy ,秒为单位; ( 4)计时值可逐一顺序回显; ( 5)按下一次终止键完成一个对象的计时,计时间隔小于最大计时值; ( 6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。 进度安排: 本设计持续10天,其中最后一天(依例周五)为答辩时间。 第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备; 第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计; 第5-7 天:完成模块电路设计,进行代码输入,并完成代码的初步仿真; 第8-9 天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩; 第10 天:验收合格后进行答辩。 选题:限2人:共同进行电路和验证方案设计,1人仿真,另1人FPGA实现

2. aval on 总线从接口设计 内容及要求: 实现Altera 的NiosII CPU 外部总线接口电路,完成存储器的读写操作。 (1) CPU采用Altera Nios n; ( 2)接口电路采用同步操作,注意时钟的选择; ( 3)寻址空间0x8000~0x8ffff ,数据总线宽度8 比特; ( 4)存储器的种类为寄存器即可,具有读写功能; ( 5) avalon 总线接口为slave ; (6)下载验证时要和CPU一同实现相应的功能,软件进行读写操作。 进度安排: 本课程设计持续10 天,其中最后一天( (依例周5)为答辩时间。 第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备; 第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计; 第5-7 天:完成模块电路设计,进行代码输入,并完成代码的仿真; 第8-9天:约束设计,与CPU集成综合、下载,用c语言实现操作,并指导老师验收设计;整理设计资料,撰写报告、准备答辩; 第10 天:验收合格后进行答辩。 选题:限 2 人:共同进行电路和验证方案设计, 1 人逻辑电路设计与仿真,另1人系统集成及软件代码设计

跑马灯设计方案EDA课程设计方案

第一章设计内容与设计方案 1.1课程设计内容 控制8个LED进行花样性显示。 设计4种显示模式:s0,从左到右逐个点亮LED;s1,从右到左逐个点亮LED;s2,从两边到中间逐个点亮LED;s3,从中见到两边逐个点亮LED。 4种模式循环切换,复位键(rst)控制系统的运行停止。数码管显示模式编号。 可预置彩灯变换速度,4档快、稍快、中速、慢速,默认工作为中速。 1.2设计方案 在掌握常用数字电路功能和原理的基础上,根据EDA技术课程所学知识,以及平时实验的具体操作内容,利用硬件描述语言HDL,EDA软件QuartusⅡ和硬件平台cycloneⅡFPGA进行一个简单的电子系统设计,本次课程设计采用Verilog HDL硬件描述语言编写控制程序,应用Quartus Ⅱ软件实现仿真测试。采用FPGA芯片对LED灯进行控制,使其达到流水跑马灯显示的效果,LED灯采用共阳极接法,当给它一个低电平时,LED点亮,我们利用移位寄存器使各输出口循环输出高低电平,达到控制的目的。

2.1设计原理及设计流程 本次试验我所完成的内容是跑马灯的设计,下面我简单的进行一下原理的阐述。 跑马灯课程设计的要求是控制8个LED进行花样显示,设计四种显示模块:第一种显示是从左向右逐个点亮LED。第二种显示:从右向左逐个点亮LED。第三种显示:从两边向中间逐个点亮LED。第四种显示:从中间到两边逐个点亮LED。四种显示模式循环切换,并带有一位复位键控制系统的运行停止。为了完成要求的效果显示,由于要求比较简单,所以不用分为很多模块来具体控制,所以我先择利用移位寄存器来完成灯的点亮,我们将LED灯采用共阳极接法,当给于低电平时点亮,那么当我们需要点亮某位LED灯时,只需在该位上赋予低电平即可,比如:如果我们要实现8个数码灯从左到右依次点亮,那么我们就可以给这8个数码灯分别赋值10000000,经过一段时间的延时后再给其赋值01000000,再经过一段时间延时后再给其赋值00100000,依次类推,则最后一种赋值状态为00000001,这样就得到了相应的现象。同理,要实现数码灯从右向左依次点亮,从中间向两端依次点亮,从两端向中间依次点亮都可以采用这样赋值的方法。为了达到四种显示模式循环切换的目的,可以将以上的所有赋值语句以顺序语句的形式置于进程中,这样在完成了一种显示方式后就会自动进入下一种设定好的显示模式,如此反复循环。当需要程序复位时,只需按下rst键即可,程序不管走都那里,执行那条语句,只要确定复位键按下时,程序立刻返回到程序执行语句的第一步,程序接着进行新的循环点亮。

第1节 电子系统设计的发展趋势

(1)电子系统设计的发展主要受以下两个技术的推动:?微电子技术——使得硅片单位面积上集成的晶体管数目越来越多。 计算机技术——软硬件技术的发展推动EDA技术的发展。?(2) 集成电路设计都是从器件的物理版图设计入手 EDA技术发展的推动 ?(3) 出现集成电路单元库,集成电路设计进入逻辑级,极大地推动IC产业的发展。?电子系统是IC之间通过PCB板等技术进行互联来构成的。PCB板上IC芯片之间连线的延时、PCB板的可靠性、PCB板的尺寸等因素,会对系统的整体性能造成很大的限制。 由IC互联构成的嵌入式系统设计 (4) IC互联构成的系统 (设计和工艺EDA技术) SOC——片上系统 SOC是指将一个完整产品的功能集成在一个芯片上或芯片组上。 SOC从系统的整体角度出发,以IP (Intellectual property)核为基础,以硬件描述语言作为系统功能和结构的描述手段,借助于以计算机为平台的EDA工具进行开发。由于SOC设计能够综合、全盘考虑整个系统的情况,因而可以实现更高的系统性能。SOC的出现是电子系统设计领域内的一场革命,其影响将是深远和广泛的。 由SOC构成嵌入式系统设计:

IC:集成电路。?ASIC:专用集成电路。 通用集成电路:FPGA、CPLD等。 SOC:属于专用集成电路。 (1)SOC: 它是指将一个完整产品的各功能集成在一个芯片中,可以包括有CPU、存储器、硬件加速单元(AV处理器、DSP、浮点协处理器等)、通用I/O(GPIO)、UART接口和模数混合电路(放大器、比较器、A/D、D/A、射频电路、锁相环等),甚至延伸到传感器、微机电和微光电单元。(如果把CPU看成是大脑,则SOC就是包括大脑、心脏、眼睛和手的系统。) SOC系统的构建一个重要特性: 使用可重用的IP来构建系统。可以缩短产品的开发周期,降低开发的复杂度。可重复利用的IP包括元件库、宏及特殊的专用IP等,如通信接口IP、输入输出接口IP;各家开发商开发的微处理器IP,如ARM公司的RISC架构的ARM核。SOC嵌入式系统就是微处理器的IP再加上一些外围IP整合而成的。SOC以嵌入式系统为核心,集软、硬件于一体,并追求最高的集成度,是电子系统设计追求的必然趋势和最终目标,是现代电子系统设计的最佳方案。SOC是一种系统集成芯片,其系统功能可以完全由硬件完成,也可以由硬件和软件协同完成。目前的SOC主要指后者。 SOC存在的问题: SoC初衷很好,但现实中却缺乏好的解决方案。由于是基于ASIC实现SoC系统,设计周期长、费用高昂、成功率不高而且产品不能修改显得系统的灵活性差,往往使得学术科研机构、中小企业难以承受。但是SoC以系统为中心、基于IP核的多层次、高度复用,可实现软硬件的无缝结合,综合性高。?(2)片上可编程系统(SoPC—Systemona Programmable Chip) ?SoPC是一种灵活、高效的SoC解决方案。它将处理器、存储器、I/O口、LVDS等系统需要的功能模块集成到一个PLD器件上,构成一

现代电子系统设计习题解答1

第1章习题解答 1.常用电子元器件有哪些? 答、常用电子元器件有电阻器、电容器、电感器、晶体管、电子管、集成电路等。 2.电阻器是如何分类的?图1.13所示为哪种电阻器?请画出该电阻器的电路符号。 答:电阻器通常分为三类:固定电阻、特殊电阻及可调电阻。 图1.13所示为电位器(可变电阻器)。电路符号如下: 3.电阻器有哪些主要技术指标? 答:电阻器的主要技术指标有额定功率、标称阻值、精度、温度系数、非线性、噪声、极限电压等。 4.电阻器的质量如何判别? 答:电阻器的质量判别可采用以下方法: 1)看电阻器引线有无折断及外壳烧焦现象。 2)用万用表电阻测量阻值,合格的电阻器应稳定在允许的误差范围内,如超出误差范围或阻值不稳定,则不能选用。 3)根据“电阻器质量越好,其噪声电压越小”的原理,使用“电阻噪声测量仪”测量电阻器噪声、判断电阻器质量的好坏。 5.电位器的主要技术指标有哪些? 答:电位器的主要技术指标有标称阻值、额定功率、滑动噪声、分辨力、阻值变化规律等。 6.电位器的质量如何判别? 答:可通过以下两个步骤判别电位器的质量: 1)用万用表电阻档测量电位器两个同定端的电阻,并与标称值核对阻值。如果万用表指针不动或比标称值大的多,表明电位器已坏;如表针跳动,表明电位器内部接触不良。 2)测量电位器的滑动端与固定端的阻值变化情况。移动电位器的滑动端,若阻值从最小到最大之间连续变化,并且最小值越小越好,最大值接近标称值,说明电位器质量较好;如阻值间断或不连续,说明电位器滑动端接触不好,则不能选用。 7.色环电阻器的阻值如何识别?有一个四环碳膜电阻器,色环顺序是红、紫、黄、银。这个电阻器的阻值和误差是多少? 答:阻值为270KΩ,误差为±10%。 8.图1.14为光敏电阻器的结构图,请说出其工作原理。 图1.13 习题2图图1.14 光敏电阻器的结构图答:光敏电阻器是一种对光敏感的元件,其电阻值能随着外界光照强弱(明暗)变化而

EDA实验教案下学期

课程教案 课程名称:EDA技术实验 任课教师:胡红艳 所属院部:电气与信息工程学院 教学班级:通信1201-1202 教学时间:2014—2015学年第一学期

湖南工学院课程基本信息

实验一 PROTEL 99原理图的绘制 一、本次课主要内容 绘制一张完整的电源电路原理图. 1.绘制电源电路的原理图,并填上正确的封装,完成后将文件存盘。 2.对完成的电路图进行ERC校验,若有错误,则加以改正,直到校验无误后,存盘. 2.对修改后的电路图进行编译,产生网络表文件,将网络表文件存盘后退出3.根据以上的实验内容写出实验报告,并将绘制好的完整电源电路图打印出来贴在报告中,分析实验过程中遇到的问题,总结用到的知识点。 二、教学目的与要求 1.熟练掌握PROTEL99的基本操作。 2.学会绘制电路原理图。 3.掌握电路图的ERC校验、电路错误修改和网络表的生成 三、教学重点难点 重点:调用元件;创建原理图元件库;网络标号。 难点:原理图元件库的创建 四、教学方法和手段 采用课堂讲授大概20分钟时间,对原理图绘制的方法与技巧运用多媒体进行演示、制作教学幻灯片。 五、作业与习题布置 写出完整的实验报告,并回答下面问题。 1、为什么要给元器件定义封装形式?是否所有原理图中的元器件都要定义封装形式? 2、放置元器件时系统提示没有打开元器件库,应如何解决? 3、使用网络标号时应注意哪些问题? 4、总线和一般连线有何区别?使用中应注意哪些问题? 教学后记:

实验一 PROTEL 99原理图的绘制 一、实验目的 (1)熟练掌握PROTEL99的基本操作。 (2)学会绘制电路原理图。 (3)掌握电路图的ERC校验、电路错误修改和网络表的生成。 二、实验内容与步骤 (1)新建文档,设置参数的基本操作。进入ADVANCED SCHEMATIC,新建一张原理图,并设置它的工作空间参数和文档参数。其中,电路图大小设置为A4,横向放置,标题栏选择标准标题栏,栅格大小均选为20mil。 (2)装入元器件库。执行相关命令, (3)放置元器件。按照如图1-1所示,从元器件库中放置相应的元器件到电路图中,并对元器件做移动,旋转等操作,同时进行属性设置。各元器件的元器件标号及标称值均采用小四号宋体,完成后将文件存盘。 (4)全局修改。利用SCH的全局修改功能,将图1-1中电阻的标号和标称值均由小四号宋体改为五号黑体,并将电阻的编号R*由大写改为小写r*,完成后将文件改名存盘。 (5)绘制电源电路图。按照如1-1所示,绘制电源电路的原理图,并填上正确的封装,完成后将文件存盘。 (6)对完成的电路图进行ERC校验,若有错误,则加以改正,直到校验无误后,存盘 (7)对修改后的电路图进行编译,产生网络表文件,将网络表文件存盘后退出

电子系统设计考试试卷四及答案电子系统设计

成都理工大学2007 2008学年第二学期 《电子系统设计》考试试卷(B卷) 1、请列出电容器的至少三个用途:、、、、、等。 2、根据滤波器的选频作用,滤波器可分为四类:、、、。 3、FLASH存储器掉电后,其内部数据将。 4、基准源和稳压源的区别参数是:、。 5、静态存储器比动态存储器的存取速度。 6、锁相环由、和三部分组成,环路锁定时输出 信号的频率与输入信号的频率,而两者的相位差为。 7、消除键盘抖动的硬件方法是:;软件方法是:。 8、在以AT89C51单片机为核心的系统设计中,通常在其I/O口上加上拉电阻,该上拉电阻 阻值一般选为_ _。 9、在使用51单片机的IO口的时候时候,经常用低电平的原因是:、。 10、在应用电路中,通常在电磁继电器的线圈端并联一个二极管,该二极管的功能是_ 。 二、选择题(10分,每题2分) 1、在下列逻辑电路中,不是组合逻辑电路的有()。 A、译码器 B、编码器 C、全加器 D、寄存器 2、如要将一个最大幅度为5.1V的模拟信号转换为数字信号,要求输入每变化20mV,输出 信号的最低位(LSB)发生变化,应选用位ADC。 A 6 ; B 8 ; C 10 ; D 12 3、在以下四种稳压电路中,能为A/D 转换器提供高精度参考电压的是()。 A、78XX 三端集成稳压器 B、集成电压基准源 C、79XX 三端集成稳压器 D、CW117/137 三端可调稳压器 4、存储容量为8K×8位的ROM存储器,其地址线为条。 A 6 ; B 8 ; C 12 ; D 13 5、一个八位DAC的最小电压增量为0.01V,当输入代码为 00010010 时,输出电压为______ V。 A 0.09 ; B 0.18 ; C 0.27 ; D 0.36 三、简答题(20分,每题5分) 1、选择电阻器、电容器时需要考虑的主要技术指标各有哪些? 2、选取DAC需要考虑的主要技术指标有哪些? 3、简述图1中二极管D1~D4的作用。

相关主题