搜档网
当前位置:搜档网 › 通讯接口技术总汇(SPI,I2C...)

通讯接口技术总汇(SPI,I2C...)

通讯接口技术总汇(SPI,I2C...)
通讯接口技术总汇(SPI,I2C...)

通讯接口总汇(UART,SPI,I2C,ISS,GPIO,CAN,SDIO)

一SPI

SPI 是一种允许一个主设备启动一个与从设备的同步通讯的协议,从而完成数据的交换。也就是SPI是一种规定好的通讯方式。这种通信方式的优点是占用端口较少,一般4根就够基本通讯了。同时传输速度也很高。一般来说要求主设备要有SPI控制器(但可用模拟方式),就可以与基于SPI的芯片通讯了。

SPI 的通信原理很简单,它需要至少4根线,事实上3根也可以。也是所有基于SPI的设备共有的,它们是SDI(数据输入),SDO(数据输出),SCK(时钟),CS(片选)。其中CS是控制芯片是否被选中的,也就是说只有片选信号为预先规定的使能信号时(高电位或低电位),对此芯片的操作才有效。这就允许在同一总线上连接多个SPI设备成为可能。

接下来就负责通讯的3根线了。通讯是通过数据交换完成的,这里先要知道SPI是串行通讯协议,也就是说数据是一位一位的传输的。这就是SCK时钟线存在的原因,由SCK提供时钟脉冲,SDI,SDO则基于此脉冲完成数据传输。数据输出通过SDO线,数据在时钟上沿或下沿时改变,在紧接着的下沿或上沿被读取。完成一位数据传输,输入也使用同样原理。这样,在至少8次时钟信号的改变(上沿和下沿为一次),就可以完成8位数据的传输。

要注意的是,SCK信号线只由主设备控制,从设备不能控制信号线。同样,在一个基于SPI的设备中,至少有一个主控设备。

这样传输的特点:这样的传输方式有一个优点,与普通的串行通讯不同,普通的串行通讯一次连续传送至少8位数据,而SPI允许数据一位一位的传送,甚至允许暂停,因为SCK 时钟线由主控设备控制,当没有时钟跳变时,从设备不采集或传送数据。也就是说,主设备通过对SCK时钟线的控制可以完成对通讯的控制。

SPI还是一个数据交换协议:因为SPI的数据输入和输出线独立,所以允许同时完成数据的输入和输出。

不同的SPI设备的实现方式不尽相同,主要是数据改变和采集的时间不同,在时钟信号上沿或下沿采集有不同定义,具体请参考相关器件的文档。

二 I2C

IIC(Inter-Integrated Circuit)总线是一种由PHILIPS公司开发的两线式串行总线,用于连接微控制器及其外围设备。

? 只要求两条总线线路:一条串行数据线SDA 一条串行时钟线SCL

? 每个连接到总线的器件都可以通过唯一的地址和一直存在的简单的主机从机关系软件设定地址主机可以作为主机发送器或主机接收器

? 它是一个真正的多主机总线如果两个或更多主机同时初始化数据传输可以通过冲突检测和仲裁,防止数据被破坏

? 串行的8 位双向数据传输位速率在标准模式下可达100kbit/s 快速模式下可达400kbit/s 高速模式下可达3.4Mbit/s

? 片上的滤波器可以滤去总线数据线上的毛刺波保证数据完整

? 连接到相同总线的IC 数量只受到总线的最大电容400pF 限制

三 UART

UART总线是异步串口,因此一般比前两种同步串口的结构要复杂很多,一般由波特率产生器(产生的波特率等于传输波特率的16倍)、UART接收器、UART发送器组成,硬件上由两根线,一根用于发送,一根用于接收。

显然,如果用通用IO口模拟UART总线,则需一个输入口,一个输出口。

UART常用于控制计算机与串行设备的芯片。有一点要注意的是,它提供了RS-232C数据终端设备接口,这样计算机就可以和调制解调器或其它使用RS-232C接口的串行设备通信了。

明显可以看出,SPI和UART可以实现全双工,但I2C不行;

四 IIS

IIS(Inter-IC Sound Bus)是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准。

I2S则大部分是3线的(除了时钟和数据外,还有一个左右声道的选择信号),I2S主要用来传输音频信号。

五 CAN

CAN,全称为“Controller Area Network”,即控制器局域网,是国际上应用最广泛的现场总线之一。最初,CAN被设计作为汽车环境中的微控制器通讯,在车载各电子控制装置ECU

之间交换信息,形成汽车电子控制网络。比如:发动机管理系统、变速箱控制器、仪表装备、电子主干系统中,均嵌入CAN控制装置。

一个由CAN 总线构成的单一网络中,理论上可以挂接无数个节点。实际应用中,节点数目受网络硬件的电气特性所限制。例如,当使用Philips P82C250作为CAN收发器时,同一网络中允许挂接110个节点。CAN 可提供高达1Mbit/s的数据传输速率,这使实时控制变得非常容易。另外,硬件的错误检定特性也增强了CAN的抗电磁干扰能力。

CAN 是怎样发展起来的?

CAN最初出现在80年代末的汽车工业中,由德国Bosch公司最先提出。当时,由于消费者对于汽车功能的要求越来越多,而这些功能的实现大多是基于电子操作的,这就使得电子装置之间的通讯越来越复杂,同时意味着需要更多的连接信号线。提出CAN总线的最初动机就是为了解决现代汽车中庞大的电子控制装置之间的通讯,减少不断增加的信号线。于是,他们设计了一个单一的网络总线,所有的外围器件可以被挂接在该总线上。1993年,CAN 已成为国际标准ISO11898(高速应用)和ISO11519(低速应用)。

CAN是一种多主方式的串行通讯总线,基本设计规范要求有高的位速率,高抗电磁干扰性,而且能够检测出产生的任何错误。当信号传输距离达到10Km时,CAN 仍可提供高达50Kbit/s 的数据传输速率。

由于CAN总线具有很高的实时性能,因此,CAN已经在汽车工业、航空工业、工业控制、安全防护等领域中得到了广泛应用。

CAN 是怎样工作的?

CAN通讯协议主要描述设备之间的信息传递方式。CAN层的定义与开放系统互连模型(OSI)一致。每一层与另一设备上相同的那一层通讯。实际的通讯发生在每一设备上相邻的两层,而设备只通过模型物理层的物理介质互连。CAN的规范定义了模型的最下面两层:数据链路层和物理层。下表中展示了OSI开放式互连模型的各层。应用层协议可以由CAN用户定义成适合特别工业领域的任何方案。已在工业控制和制造业领域得到广泛应用的标准是DeviceNet,这是为PLC和智能传感器设计的。在汽车工业,许多制造商都应用他们自己的标准。

表1 OSI开放系统互连模型

7

应用层

最高层。用户、软件、网络终端等之间用来进行信息交换。如:DeviceNet 6

表示层

将两个应用不同数据格式的系统信息转化为能共同理解的格式

5

会话层

依靠低层的通信功能来进行数据的有效传递。

传输层

两通讯节点之间数据传输控制。操作如:数据重发,数据错误修复

3

网络层

规定了网络连接的建立、维持和拆除的协议。如:路由和寻址

2

数据链路层

规定了在介质上传输的数据位的排列和组织。如:数据校验和帧结构

1

物理层

规定通讯介质的物理特性。如:电气特性和信号交换的解释

CAN能够使用多种物理介质,例如双绞线、光纤等。最常用的就是双绞线。信号使用差分电压传送,两条信号线被称为“CAN_H”和“CAN_L”,静态时均是2.5V左右,此时状态表示为逻辑“1”,也可以叫做“隐性”。用CAN_H比CAN_L高表示逻辑“0”,称为“显形”,此时,通常电压值为:CAN_H = 3.5V 和CAN_L = 1.5V 。

CAN 有哪些特性?

CAN具有十分优越的特点,使人们乐于选择。这些特性包括:

- 低成本

- 极高的总线利用率

- 很远的数据传输距离(长达10Km)

- 高速的数据传输速率(高达1Mbit/s)

- 可根据报文的ID决定接收或屏蔽该报文

- 可靠的错误处理和检错机制

- 发送的信息遭到破坏后,可自动重发

- 节点在错误严重的情况下具有自动退出总线的功能

- 报文不包含源地址或目标地址,仅用标志符来指示功能信息、优先级信息

Philips制造的CAN芯片有哪些?

表2 CAN芯片一览表

类别型号备注

P87C591 替代P87C592

CAN微控制器 XA C37 16位MCU

CAN独立控制器 SJA1000 替代 82C200 PCA82C250

高速CAN收发器 PCA82C251

高速CAN收发器 PCA82C252

容错CAN收发器 TJA1040

高速CAN收发器 TJA1041

高速CAN收发器 TJA1050

高速CAN收发器 TJA1053

容错CAN收发器 TJA1054

LIN收发器 TJA1020

LIN收发器

什么是CSMA/CD ?

CSMA/CD是“载波侦听多路访问/冲突检测”(Carrier Sense Multiple Access with Collision Detect)的缩写。

利用CSMA访问总线,可对总线上信号进行检测,只有当总线处于空闲状态时,才允许发送。利用这种方法,可以允许多个节点挂接到同一网络上。当检测到一个冲突位时,所有节点重新回到‘监听’总线状态,直到该冲突时间过后,才开始发送。在总线超载的情况下,这种技术可能会造成发送信号经过许多延迟。为了避免发送时延,可利用CSMA/CD方式访问总线。当总线上有两个节点同时进行发送时,必须通过“无损的逐位仲裁”方法来使有最高优先权的的报文优先发送。在 CAN总线上发送的每一条报文都具有唯一的一个11位或29位数字的ID。CAN总线状态取决于二进制数‘0’而不是‘1’,所以ID号越小,则该报文拥有越高的优先权。因此一个为全‘0’标志符的报文具有总线上的最高级优先权。可用另外的方法来解释:在消息冲突的位置,第一个节点发送0而另外的节点发送 1,那么发送0的节点将取得总线的控制权,并且能够成功的发送出它的信息。

CAN的高层协议

CAN的高层协议(也可理解为应用层协议)是一种在现有的底层协议(物理层和数据链路层)之上实现的协议。高层协议是在CAN规范的基础上发展起来的应用层。许多系统(像汽车工业)中,可以特别制定一个合适的应用层,但对于许多的行业来说,这种方法是不经济的。一些组织已经研究并开放了应用层标准,以使系统的综合应用变得十分容易。

一些可使用的CAN高层协议有:

制定组织主要高层协议

CiA CAL协议

CiA CANOpen协议

ODVA DeviceNet 协议

Honeywell SDS 协议

Kvaser CANKingdom协议

什么是标准格式CAN和扩展格式CAN?

标准CAN的标志符长度是11位,而扩展格式CAN的标志符长度可达29位。CAN 协议的2.0A 版本规定CAN控制器必须有一个11位的标志符。同时,在2.0B版本中规定,CAN控制器的标志符长度可以是11位或29位。遵循 CAN2.0B协议的CAN控制器可以发送和接收11位标识符的标准格式报文或29位标识符的扩展格式报文。如果禁止CAN2.0B,则CAN 控制器只能发送和接收11位标识符的标准格式报文,而忽略扩展格式的报文结构,但不会出现错误。目前,Philips公司主要推广的CAN独立控制器均支持CAN2.0B协议,即支持29位标识符的扩展格式报文结构。

六 SDIO

SDIO是SD型的扩展接口,除了可以接SD卡外,还可以接支持SDIO接口的设备,插口的用途不止是插存储卡。支持 SDIO接口的PDA,笔记本电脑等都可以连接象GPS接收器,Wi-Fi 或蓝牙适配器,调制解调器,局域网适配器,条型码读取器,FM无线电,电视接收器,射频身份认证读取器,或者数码相机等等采用SD标准接口的设备。

七 GPIO

GPIO (General Purpose Input Output 通用输入/输出)或总线扩展器利用工业标准I2C、SMBus?或SPI?接口简化了I/O口的扩展。当微控制器或芯片组没有足够的I/O端口,或当系统需要采用远端串行通信或控制时,GPIO产品能够提供额外的控制和监视功能。

每个GPIO端口可通过软件分别配置成输入或输出。Maxim的GPIO产品线包括8端口至28端口的GPIO,提供推挽式输出或漏极开路输出。提供微型3mm x 3mm QFN封装。

GPIO的优点(端口扩展器)

低功耗:GPIO具有更低的功率损耗(大约1μA,μC的工作电流则为100μA)。

集成IIC从机接口:GPIO内置IIC从机接口,即使在待机模式下也能够全速工作。

小封装:GPIO器件提供最小的封装尺寸― 3mm x 3mm QFN!

低成本:您不用为没有使用的功能买单!

快速上市:不需要编写额外的代码、文档,不需要任何维护工作!

灵活的灯光控制:内置多路高分辨率的PWM输出。

可预先确定响应时间:缩短或确定外部事件与中断之间的响应时间。更好的灯光效果:匹配的电流输出确保均匀的显示亮度。

布线简单:仅需使用2条IIC总线或3条SPI总线

基于verilog的SPI设计

武汉理工大学本科学生毕业设计(论文)开题报告

目录 摘要.................................................................................. I Abstract ............................................................................. II 1 绪论.. (3) 1.1课题研究背景 (3) 1.2 SPI研究目的及意义 (4) 1.3 本章小结 (4) 2 SPI原理分析 (5) 2.1 SPI介绍 (5) 2.2 SPI工作模式 (6) 2.3 SPI传输模式 (6) 2.4 SPI协议 (7) 2.5 本章小结 (8) 3 方案论证 (10) 3.1在51系列单片机系统中实现 (10) 3.2 用可编程逻辑器件设计SPI (11) 3.3 本章小结 (11) 4 SPI的电路设计 (12) 4.1 SPI设计系统的功能 (12) 4.2 SPI各部分具体实现 (12) 4.2.2 SPI系统中所用的寄存器 (13) 4.2.3 SPI速率控制 (14) 4.2.4 SPI控制状态机 (14) 4.2.5 SPI程序设计流程图 (15) 4.3 SPI仿真及开发板上调试验证分析 (16) 4.3.1 仿真分析 (16) 4.3.2开发板上调试 (18) 4.4 本章小结 (20) 5 论文总结 (21) 致谢 (22) 参考文献 (23) 附录1 (24) 附录2 (28)

摘要 随着专用集成电路(ASIC)设计技术的进步以及超大规模集成电路(VLSI)工艺技术的飞速发展,以及其价格的日益降低,采用FPGA编程的硬件电路来实现诸如SPI接口也日益切实可行,相对软件实现具有更好的优点。SPI接口是一种常用的标准接口,由于其使用简单方便且节省系统资源,很多芯片都支持该接口,SPI接口主要应用在EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间等等。 由于SPI接口是一种事实标准,并没有标准协议,大部分厂家都是参照Motorola的SPI接口定义来设计的,但正因为没有确切的版本协议,不同厂家产品的SPI接口在技术上存在一定的差别,容易引起歧义,有的甚至无法互联(需要用软件进行必要的修改)。本文基于一种使用较为普遍的协议来进行设计,并参照Motorola公司的MC68HC11A8单片机中的SPI模块定义来设计的简化的SPI接口,用Verilog语言进行编写设计,并在ISE 软件上进行设计仿真,并在基于Xlinx公司的Spartan-3E芯片的Digilent公司出品的Nexys2开发板上用在线逻辑分析仪chipscope进行板上调试验证观察结果,并完成实现功能,并具有一些独创性的设计。 关键词:FPGA Verilog SPI协议 chipscope ISE

实验八 IIC通信协议

实验八I2C通信协议 一、实验目的: 1、培养学生阅读资料的能力; 2、加深学生对I2C总线通信协议的理解; 3、加强学生对模块化编程的理解; 二、实验环境: 1、硬件环境:PC机一台、单片机实验板一块、母头串口交叉线、USB电源线; 2、软件环境:keil uVision2集成开发环境; STC-ISP下载上位机软件; 三、实验原理: 要学会I2C通信协议的编程,关键是要看懂并掌握其时序图,理解对I2C通信协议相关子程序的实验编写。I2C通信协议的总线时序图如下所示: I2C总线时序图 I2C相关子程序的详细介绍 1、起始信号:SCL为高电平时,SDA由高电平向低电平跳变,开始传送数据。 2、结束信号:SCL为高电平时,SDA由低电平向高电平跳变,结束传送数据。 起始信号和结束信号的时序图如下所示: 起始信号和结束信号的时序图 起始信号的流程如下:

1、SCL和SDA拉高,保持时间约为0.6us-4us; 2、拉低SDA,保持时间为约为0.6us-4us; 3、拉低时钟线 结束信号的流程如下: 1、SCL置高电平,SDA置低电平,保持时间约为0.6us-4us 2、SDA拉高,保持时间约为1.2-4us; 应答信号:接收数据的IC在接收到8bit数据后,向发送数据的IC发出特定的低电平脉冲,表示已收到数据。CPU向受控单元发出一个信号后,等待受控单元发出一个应答信号,CPU接收到应答信号后,根据实际情况作出是否继续传递信号的判断。 若未收到应答信号,由判断为受控单元出现故障。应答信号的时序图如下所示: 应答时序图 发送时的应答信号 ;**********应答信号********** ACK: SETB SDA ;数据线置高 SETB SCL ;时钟线置高 ACALL DELAY JB SDA,$ ;等待数据线变低 ACALL DELAY CLR SCL ;时钟线置低 RET 注意:这里如果数据线一直为高将进入死循环,所以一般我们都会在这做一个容错的处理。具体的程序如下: ACK: MOV R4,#00H SETB SDA SETB SCL LOP0: JNB SDA,LOP DJNZ R4,LOP0 ;循环255次 LOP: ACALL DEL CLR SCL RET 接收时的应答信号

基于MSP430的I2C模拟总线程序讲解

程序和流程图: IIC.h void Init_IIC(void); void EEPROM_ByteWrite(unsigned char nAddr,unsigned char nVal); unsigned char EEPROM_RandomRead(unsigned char nAddr); unsigned char EEPROM_CurrentAddressRead(void); void EEPROM_AckPolling(void); void Init_CLK(void); void Init_IIC_Port(void); Main.C /******************************************* IIC for AT24c16 OR AT24CXXX 系列 只要控制好IICRM IICSTP IICSTT 其硬件会自动完成 SCL SDA的一系列时序只要注意各个发送与接收的控制标志位. ******************************************/ #include #include "IIC.h" volatile unsigned char Data[6]; void main(void) { //volatile unsigned char Data[6];

//停止看门狗 WDTCTL = WDTPW+WDTHOLD; //初始化端口 Init_IIC_Port(); //初始化时钟 Init_CLK(); //I2C初始化 Init_IIC(); //置传输方式及控制方式 //打开中断 _EINT(); //写入数据 EEPROM_ByteWrite(0x0000,0x12); //等待写操作完成 EEPROM_AckPolling(); //写入数据 EEPROM_ByteWrite(0x0001,0x34); //等待写操作完成 EEPROM_AckPolling(); //写入数据 EEPROM_ByteWrite(0x0002,0x56); //等待写操作完成

veriolg实现spi总线

从机模块slave_spi.v连接有ram,模拟CA T25010芯片存储数据,用rden,wren,data,address 进行通信,CAT25010芯片具体通信协议可参考起使用说明。 module slave_spi(clk,rst,cs,sck,si,so,rden,wren,data,address,data_send); input clk,rst,cs,sck; input si; input [7:0] data_send; //读取外部ram的数据,用于发送 output rden,wren; output [7:0] data; //写入外部ram的数据 output [7:0] address; //写入外部ram的数据的地址 output so; reg rden,wren; reg [7:0] data; reg [7:0] address; reg [7:0] data_in; reg [7:0] addr_in; reg [7:0] data_send_buff; reg so_buff,link_so; reg [4:0] s_state; reg [3:0] read_state; reg [7:0] opecode; reg [3:0] readin_bit; wire so; assign so = link_so? so_buff:1'bz; parameter read_code=8'b0000_0011, write_code=8'b0000_0010; parameter idle=5'b00001, s_opecode=5'b00010, s_address=5'b00100, s_write=5'b01000, s_read=5'b10000; parameter bit7=4'b0010, bit6=4'b0011,

简单的I2C协议理解 i2c程序(调试通过)

简单的I2C协议理解 一. 技术性能: 工作速率有100K和400K两种; 支持多机通讯; 支持多主控模块,但同一时刻只允许有一个主控; 由数据线SDA和时钟SCL构成的串行总线; 每个电路和模块都有唯一的地址; 每个器件可以使用独立电源 二. 基本工作原理: 以启动信号START来掌管总线,以停止信号STOP来释放总线; 每次通讯以START开始,以STOP结束; 启动信号START后紧接着发送一个地址字节,其中7位为被控器件的地址码,一位为读/写控制位R/W,R /W位为0表示由主控向被控器件写数据,R/W为1表示由主控向被控器件读数据; 当被控器件检测到收到的地址与自己的地址相同时,在第9个时钟期间反馈应答信号; 每个数据字节在传送时都是高位(MSB)在前; 写通讯过程: 1. 主控在检测到总线空闲的状况下,首先发送一个START信号掌管总线; 2. 发送一个地址字节(包括7位地址码和一位R/W); 3. 当被控器件检测到主控发送的地址与自己的地址相同时发送一个应答信号(ACK); 4. 主控收到ACK后开始发送第一个数据字节; 5. 被控器收到数据字节后发送一个ACK表示继续传送数据,发送NACK表示传送数据结束; 6. 主控发送完全部数据后,发送一个停止位STOP,结束整个通讯并且释放总线; 读通讯过程: 1. 主控在检测到总线空闲的状况下,首先发送一个START信号掌管总线; 2. 发送一个地址字节(包括7位地址码和一位R/W); 3. 当被控器件检测到主控发送的地址与自己的地址相同时发送一个应答信

号(ACK); 4. 主控收到ACK后释放数据总线,开始接收第一个数据字节; 5. 主控收到数据后发送ACK表示继续传送数据,发送NACK表示传送数据结束; 6. 主控发送完全部数据后,发送一个停止位STOP,结束整个通讯并且释放总线; 四. 总线信号时序分析 1. 总线空闲状态 SDA和SCL两条信号线都处于高电平,即总线上所有的器件都释放总线,两条信号线各自的上拉电阻把电平拉高; 2. 启动信号START 时钟信号SCL保持高电平,数据信号SDA的电平被拉低(即负跳变)。启动信号必须是跳变信号,而且在建立该信号前必修保证总线处于空闲状态; 3. 停止信号STOP 时钟信号SCL保持高电平,数据线被释放,使得SDA返回高电平(即正跳变),停止信号也必须是跳变信号。 4. 数据传送 SCL线呈现高电平期间,SDA线上的电平必须保持稳定,低电平表示0(此时的线电压为地电压),高电平表示1(此时的电压由元器件的VDD决定)。只有在SCL线为低电平期间,SDA上的电平允许变化。 5. 应答信号ACK I2C总线的数据都是以字节(8位)的方式传送的,发送器件每发送一个字节之后,在时钟的第9个脉冲期间释放数据总线,由接收器发送一个ACK(把数据总线的电平拉低)来表示数据成功接收。 6. 无应答信号NACK 在时钟的第9个脉冲期间发送器释放数据总线,接收器不拉低数据总线表示一个NACK,NACK有两种用途: a. 一般表示接收器未成功接收数据字节; b. 当接收器是主控器时,它收到最后一个字节后,应发送一个NACK信号,以通知被控发送器结束数据发送,并释放总线,以便主控接收器发送一个停止信号STOP。 五. 寻址约定

【SoCVista】SPI总线接口的SOPC模块设计与实现

SPI 总线接口的SoPC 模块设计与实现 廖彬彬,张福洪,尚俊娜 (杭州电子科技大学通信工程学院 浙江杭州 310018) 摘 要:SPI 总线接口是Motorola 公司提出的一种全双工的同步串行外设通信接口,用于连接微处理器和各种外围设备。可编程片上系统是Altera 公司提出的一种灵活、高效的SoC 解决方案,So PC 模块间的互联使用了Avalon 交换式总线。基于Avalon 交换式总线接口规范,利用Verilog HDL 硬件描述语言实现SPI 总线接口的硬件设计,编写SPI 接口模块在 N IOS Ⅱ系统中的驱动程序。在Modelsim 中对SPI 接口的硬件设计进行功能和时序仿真;在FP GA 开发板上构建了实际的N IOS Ⅱ系统,验证SPI 接口的So PC 模块;仿真和验证结果正确,满足设计要求。 关键词:可编程片上系统;串行外设接口;Verilog 硬件描述语言;FP GA ;N IOS Ⅱ 中图分类号:TN402;TP302 文献标识码:B 文章编号:10042373X (2008)022013204 Design and Implement of SoPC Modular for SPI Bus Interface L IAO Binbin ,ZHAN G Fuhong ,SHAN G J unna (School of Communication Engineering ,Hangzhou Dianzi University ,Hangzhou ,310018,China ) Abstract :Serial peripheral interface bus is a synchronous serial data link standard designed by Motorola which operates in full duplex mode ,it allows communication between micro processor and peripheral devices.So PC (System on Programmable Chip )is a kind of flexible and high performance SoC solution designed by Altera.The So PC system interconnect fabric is Aval 2on switch fabric.The SPI interface based on Avalon switch fabric using Verilog HDL (Hardware Description Language )is de 2signed and implemented.And then a device driver of SPI interface modular for NIOS Ⅱsystem is written.The f unction and timing simulation in Modelsim are also presented.A prototype N IOS Ⅱsystem on FP GA development board is built to verify this modular.Results of simulation and verification satisfy the design requirement. K eywords :system on programmable chip ;serial peripheral interface ;Verilog HDL ;FP GA ;N IOS Ⅱ 收稿日期:2007207231 1 引 言 可编程片上系统(System on Programmable Chip , So PC )是Altera 公司提出的一种灵活、高效的SoC 解决方 案,是一种特殊的嵌入式微处理器系统,他是将软件和硬件集成到单个可编程逻辑器件平台中,同时获得软件的灵活性以及硬件的高性能优势,并且他是可编程系统,具有灵活的设计方式,可裁减、可扩充、可升级,并具备软硬件在系统可编程的功能。 SPI 总线接口(Serial Peripheral Interface )是Motorola 公司提出的一种全双工的同步串行外设通信接口,用于连接微处理器和各种外围设备,是一种3线总线结构,接口信号线少,实现简单,应用非常广泛。 本文给出的是通过Verilog HDL 硬件描述语言实现 SPI 总线的So PC 模块设计,并编写该模块在NIOS Ⅱ系 统中的驱动程序。 2 SPI 总线接口与Avalon 交换式总线简介2.1 SPI 总线接口 SPI 总线接口最早由Motorola 公司提出,他是一种全 双工的同步串行外设通信接口,用于连接微处理器和各种外围设备。SPI 接口一般由4根信号线组成,1根串行时钟线(SCL K )、2根数据线主机输入/从机输出线(MISO )和主机输出/从机输入线(MOSI ),还有一根是低电平有效的从机选择线(SS )。 SPI 接口总线上的数据传送是通过串行时钟SCL K 进行同步。SCL K 信号由SPI 主机端产生,通过串行时钟线(SCL K )传到SPI 从机,从而实现串行数据的同步传送。 MISO 和MOSI 的功能取决于其是作为主机还是从机。 当作为主机时,MISO 是输入,MOSI 是输出,作为从机时刚好相反。其应用通常是一个SPI 主机连接一个或多个 SPI 从机。 SPI 接口的数据传输有4种不同的传输模式,由SPI 控制寄存器中CPOL 和CP HA 位来选择当前传输模式, 如表1所示。 3 1

I2C总线协议规范 v2.1

THE I2C-BUS SPECIFICATION VERSION 2.1 JANUARY 2000

CONTENTS 1PREFACE. . . . . . . . . . . . . . . . . . . . . . . . . . .3 1.1Version 1.0 - 1992. . . . . . . . . . . . . . . . . . . . 3 1.2Version 2.0 - 198. . . . . . . . . . . . . . . . . . . . . 3 1.3Version 2.1 - 1999. . . . . . . . . . . . . . . . . . . . 3 1.4Purchase of Philips I2C-bus components . . 3 2THE I2C-BUS BENEFITS DESIGNERS AND MANUFACTURERS. . . . . . . . . . . . . . .4 2.1Designer benefits . . . . . . . . . . . . . . . . . . . . 4 2.2Manufacturer benefits. . . . . . . . . . . . . . . . . 6 3INTRODUCTION TO THE I2C-BUS SPECIFICATION . . . . . . . . . . . . . . . . . . . . .6 4THE I2C-BUS CONCEPT . . . . . . . . . . . . . . .6 5GENERAL CHARACTERISTICS . . . . . . . . .8 6BIT TRANSFER . . . . . . . . . . . . . . . . . . . . . .8 6.1Data validity . . . . . . . . . . . . . . . . . . . . . . . . 8 6.2START and STOP conditions. . . . . . . . . . . 9 7TRANSFERRING DATA. . . . . . . . . . . . . . .10 7.1Byte format . . . . . . . . . . . . . . . . . . . . . . . . 10 7.2Acknowledge. . . . . . . . . . . . . . . . . . . . . . . 10 8ARBITRATION AND CLOCK GENERATION . . . . . . . . . . . . . . . . . . . . . .11 8.1Synchronization . . . . . . . . . . . . . . . . . . . . 11 8.2Arbitration . . . . . . . . . . . . . . . . . . . . . . . . . 12 8.3Use of the clock synchronizing mechanism as a handshake. . . . . . . . . . . 13 9FORMATS WITH 7-BIT ADDRESSES. . . .13 107-BIT ADDRESSING . . . . . . . . . . . . . . . . .15 10.1Definition of bits in the first byte . . . . . . . . 15 10.1.1General call address. . . . . . . . . . . . . . . . . 16 10.1.2START byte . . . . . . . . . . . . . . . . . . . . . . . 17 10.1.3CBUS compatibility. . . . . . . . . . . . . . . . . . 18 11EXTENSIONS TO THE STANDARD- MODE I2C-BUS SPECIFICATION . . . . . . .19 12FAST-MODE. . . . . . . . . . . . . . . . . . . . . . . .19 13Hs-MODE . . . . . . . . . . . . . . . . . . . . . . . . . .20 13.1High speed transfer. . . . . . . . . . . . . . . . . . 20 13.2Serial data transfer format in Hs-mode. . . 21 13.3Switching from F/S- to Hs-mode and back . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2313.4Hs-mode devices at lower speed modes. . 24 13.5Mixed speed modes on one serial bus system. . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 13.5.1F/S-mode transfer in a mixed-speed bus system. . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 13.5.2Hs-mode transfer in a mixed-speed bus system. . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 13.5.3Timing requirements for the bridge in a mixed-speed bus system. . . . . . . . . . . . . . 27 1410-BIT ADDRESSING. . . . . . . . . . . . . . . . 27 14.1Definition of bits in the first two bytes. . . . . 27 14.2Formats with 10-bit addresses. . . . . . . . . . 27 14.3General call address and start byte with 10-bit addressing. . . . . . . . . . . . . . . . . . . . 30 15ELECTRICAL SPECIFICATIONS AND TIMING FOR I/O STAGES AND BUS LINES. . . . . . . . . . . . . . . . . . . . 30 15.1Standard- and Fast-mode devices. . . . . . . 30 15.2Hs-mode devices. . . . . . . . . . . . . . . . . . . . 34 16ELECTRICAL CONNECTIONS OF I2C-BUS DEVICES TO THE BUS LINES . 37 16.1Maximum and minimum values of resistors R p and R s for Standard-mode I2C-bus devices . . . . . . . . . . . . . . . . . . . . . 39 17APPLICATION INFORMATION. . . . . . . . . 41 17.1Slope-controlled output stages of Fast-mode I2C-bus devices. . . . . . . . . . . . 41 17.2Switched pull-up circuit for Fast-mode I2C-bus devices . . . . . . . . . . . . . . . . . . . . . 41 17.3Wiring pattern of the bus lines. . . . . . . . . . 42 17.4Maximum and minimum values of resistors R p and R s for Fast-mode I2C-bus devices . . . . . . . . . . . . . . . . . . . . . 42 17.5Maximum and minimum values of resistors R p and R s for Hs-mode I2C-bus devices . . . . . . . . . . . . . . . . . . . . . 42 18BI-DIRECTIONAL LEVEL SHIFTER FOR F/S-MODE I2C-BUS SYSTEMS . . . . 42 18.1Connecting devices with different logic levels. . . . . . . . . . . . . . . . . . . . . . . . . 43 18.1.1Operation of the level shifter . . . . . . . . . . . 44 19DEVELOPMENT TOOLS AVAILABLE FROM PHILIPS. . . . . . . . . . . . . . . . . . . . . 45 20SUPPORT LITERATURE . . . . . . . . . . . . . 46

关于IIC的通信协议程序

#define uchar unsigned char #define uint unsigned int #define ulong unsigned long #define _BV(bit) (1 << (bit)) #ifndef cbi #define cbi(reg,bit) reg &= ~_BV(bit) #endif #ifndef sbi #define sbi(reg,bit) reg |= _BV(bit) #endif extern uchar dog; /* void delay_1ms(uchar xtal) { uchar i; for(i=0;i<(uint)(143*xtal-2);i++) {;} } //2 延时nms void delay_ms(uchar m, uchar fosc) { uchar i; i=0; while(i

AT24C02数据存储I2C协议-串口通讯

/****************************************** 绿盾电子 X-13 多传感器开发板 功能:实现读写AT24C02型号的EEPROM存储。该类型存储器具有掉电数据保护功能,是单片 机项目开发中常用的芯片。AT24C02使用 I2C总线与的单片机通信,只需两根线即 可完成读写功能。 串口输出数据,串口是单片机程序调试种 最常用最重要的工具。在使用前需要主要 开发板当前晶振频率是否为11.0592MHz,如 不是,请更换晶振,或者自行计算定时器 数值。 时间:2011-8-23 ******************************************/ //头文件 #include "reg51.h" #include //宏定义 #define uchar unsigned char #define uint unsigned int

//引脚定义 sbit Scl= P3^6; //AT24C0x串行时钟sbit Sda= P3^7; //AT24C0x串行数据 //全局变量 uchar EEPROM_WriteBuffer[] = {"https://www.sodocs.net/doc/5c18423715.html,"}; uchar EEPROM_ReadBuffer[20]; //函数声明 /******************************************/ //延时子函时, //参数 d_time 控制延时的时间 //作用,灯亮和熄灭必须持续一定时间,人眼才能看到 void delay(unsigned int time); /******************************************/ //延时子函数 //参数范围 0-65536 void delay(unsigned int time) //参数 time 大小 { //决定延时时间长短 while(time--); }

I2C总线读写程序通用

//==========================头文件加载=============================== #include //加载52系列单片机头文件 //===========================端口声明================================ sbit CLK=P3^6; //74hc574时钟信号线 sbit G=P2^4; //74hc574使能 sbit IIC_SDA=P2^6; //声明IIC总线的数据线接在单片机的P2.5端口。 sbit IIC_SCL=P2^5; //声明IIC总线的时钟线接在单片机的P2.7端口。 unsigned char tabl[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x0BF,0x8C}; //0,1,2,3,4,5,6,7,8,9,-,P //===========================函数声明================================ void display(unsigned char aa); void delay(unsigned int t); void delay_IIC(void); void IIC_Init(void); void IIC_start(void); void IIC_stop(void); bit IIC_Tack(void); void IIC_single_byte_write(unsigned char Daddr,unsigned char Waddr,unsigned char Data); unsigned char IIC_single_byte_read(unsigned char Daddr,unsigned char Waddr); void IIC_write_byte(unsigned char Data); unsigned char IIC_read_byte(void); //============================主函数================================= void main() //主函数 { unsigned char Data=2,addr=0x01; //---------------------------系统初始化-------------------------- IIC_Init();//初始化IIC总线。 //P1=0x7f;//LED8先亮。 while(1) //死循环 { IIC_single_byte_write(0xa0,0x02,Data);//保存LED的状态 delay(50000);//延时约0.5S Data=IIC_single_byte_read(0xa0,0x02);//读出LED的状态 if(Data<10) Data++; else

FPGA设计中DAC7512控制的Verilog实现

FPGA设计中DAC7512控制的Verilog实现 一,概述 DAC7512是一个12-BIT,串行接口的DAC。低功耗,RAIL-TO-RAIL输出,SOT23-6封装。3线串行端口最高工作频率可以达到30MHZ,并兼容SPI,QSPI,MICROWIRE等总线。 DAC7512没有专用的基准电压输入,直接把VDD和GND作为基准电压,12BIT的分辨率,其输出电压为VOUT = VDD * D/4096。其中D是12BIT电压数值。 SOT23-6封装的DAC7512的引脚图如下。 DAC7512具有3线串行端口,其信号定义如下所示: 对DAC7512来讲,在总线上只会接收控制器发出的16BIT的数字信号(2BIT 无效数据,2bit控制数据和12bit(信号幅值数据)。所以对于控制器来讲,在总线操作上,只需要串行写这一种操作。 总线串行写操作在SYNC的下降沿开始。16 bit的数据在SCLK的下降沿被依次送入到DAC7512内部的移位寄存器中。从功耗的角度上讲,如果SYNC在空闲状态保持低电平,则有利于功耗的降低,但从总线操作的角度上讲,需要SYNC的下降沿来启动一次传输。

下面的图和表是总线操作的时序要求: 二,总线控制器的设计 根据总线控制器的特性,采用状态机来实现总线控制器的设计。从上面DAC7512的操作时序上来看,用一个三状态的状态机实现总线控制器是比较好的选择。在系统初始化或者没有数据传输时,系统处于空闲状态(DAC_IDLE),为了降低功耗,在这个状态下,SYNC信号为低电平;当有数据需要传输时,先进入DAC_PRE状态,在这个状态下,使SYNC信号为高电平,DAC_PRE状态保持的时间最短为SYNC需要保持为高电平的时间,即上图的T8,在VDD为3.6V~5.5V的时候,为33ns;当DAC_PRE状态结束时,进入DAC_DATA状态,在这个状态下,依次把16bit数据送到总线上去。

i2c通讯协议及程序

I2C通信协议简介 (2013-01-17 10:48:03) 转载▼ 分类:通讯协议 标签: 杂谈 ACK是acknowledge的意思,确认. 摒弃复杂的情况,这里只对I2C做简单的介绍。 一、I2C 总线的一些特征: ? 只要求两条总线线路一条串行数据线SDA一条串行时钟线SCL ? 每个连接到总线的器件都可以通过唯一的地址和一直存在的简单的主机从机关系软件设定地址主机可以作为主机发送器或主机接收器? 它是一个真正的多主机总线如果两个或更多主机同时初始化数据传输可以通过冲突检测和仲裁防止数据被破坏 ? 串行的8 位双向数据传输位速率在标准模式下可达100kbit/s 快速模式下可达400kbit/s 高速模式下可达3.4Mbit/s ? 片上的滤波器可以滤去总线数据线上的毛刺波保证数据完整 ? 连接到相同总线的IC 数量只受到总线的最大电容400pF 限制 二、I2C总线在传送数据过程中共有三种类型信号:开始信号、结束信号和应答信号。 开始信号:SCL为高电平时,SDA由高电平向低电平跳变,开始传送数据。 结束信号:SCL为高电平时,SDA由低电平向高电平跳变,结束传送数据。 应答信号:接收数据的IC在接收到8bit数据后,向发送数据的IC发出特定的低电平脉冲,表示已收到数据 起始和结束:

bool I2C_Start(void) { SDA_H; SCL_H; I2C_delay(); if(!SDA_read)return FALSE; // SDA线为低电平则总线忙,退出 SDA_L; // 拉低SDA线(当SCL为高电平时,SDA由高电平向低电平跳变表示开始信号) I2C_delay(); if(SDA_read) return FALSE; // SDA线为高电平则总线出错,退出 SDA_L; //数据为准备好时,拉低SCL线 I2C_delay(); return TRUE; } 发出开始信号之后,设备在数据未准备好时,拉低SCL线,这样主设备可知从设备未发送数据,从设备在数据准备好,可以发送的时候,停止拉低SCL线,这时候才开始真正的数据传输 void I2C_Stop(void) { SCL_L; I2C_delay(); SDA_L; I2C_delay(); SCL_H; // SCL为高电平时,SDA由低电平向高电平跳变,结束传送数据 I2C_delay(); SDA_H; I2C_delay(); }

SPI串行总线接口的Verilog实现

SPI串行总线接口的Verilog实现 摘要:集成电路设计越来越向系统级的方向发展,并且越来越强调模块化的设计。SPI(Serial Peripheral Bus)总线是Motorola公司提出的一个同步串行外设接口,容许CPU 与各种外围接口器件以串行方式进行通信、交换信息。本文简述了SPI总线的特点,介绍了其4条信号线,SPI串行总线接口的典型应用。重点描述了SPI串行总线接口在一款802.11b芯片中的位置,及该接口作为基带和射频的通讯接口所完成的功能,并给出了用硬件描述语言Verilog HDL 实现该接口的部分程序。该实现已经在Modelsim 中完成了仿真, 并经过了FPGA 验证, 最后给出了仿真和验证的结果。 在SOC设计中,利用EDA 工具设计芯片实现系统功能已经成为支撑电子设计的通用平台.并逐步向支持系统级的设计方向发展。而且,在设计过程中,越来越强调模块化设计。 SPI总线是Motorola公司提出的一个同步串行外设接口,具有接口线少、通讯效率高等特点。本文给出的是利用Verilog HDL实现的SPI总线模块,该模块是802.11b无线局域网芯片中一个子模块,该模块完成了芯片中基带(base band)与RF的通讯工作. 1 SPI总线接口概述 SPI(Serial Parallel Bus)总线是Motorola公司提出的一个同步串行外设接口,允许CPU 与各种外围接口器件(包括模/数转换器、数/模转换器、液晶显示驱动器等)以串行方式进行通信、交换信息。他使用4条线:串行时钟线(SCK)、主机输入/从机输出线(MISO)、主机输出/从机输入线(MOSI)、低电平有效的使能信号线(CS)。这样,仅需3~4根数据线和控制线即可扩展具有SPI接口的各种I/O 器件其典型结构如图1所示。 SPI总线具有以下特点: (1)连线较少,简化电路设计。并行总线扩展方法通常需要8根数据线、8~16根地址线、2~3根控制线。而这种设计,仅需4根数据和控制线即可完成并行扩展所实现的功能。 (2)器件统一编址,并与系统地址无关,操作SPI独立性好。 (3)器件操作遵循统一的规范,使系统软硬件具有良好的通用性。 2 SPI总线接口的设计与实现 该模块是802.1lb无线局域网芯片中的一子模块,其在芯片中的位置如图2所示。

I2C总线协议程序

C程序代码]I2C总线协议程序 程序代码 2009-10-11 14:05 阅读48 评论0 /**************************************************************** I2C总线协议程序 ****************************************************************/ #define NOP {_nop_();_nop_();_nop_();_nop_();} sbit SDA=P1^2; /*模拟I2C数据传送位*/ sbit SCL=P1^3; /*模拟I2C时钟控制位*/ bit ack; /*应答标志位*/ void Start_I2c() { SDA=1; NOP; SCL=1; NOP; SDA=0; NOP; SCL=0; NOP; } void Stop_I2c() { SDA=0; NOP;

SCL=1; NOP; SDA=1; NOP; } void Senduchar(uchar c) { uchar i; for(i=0;i<8;i++) { c<<=1; SDA=CY; NOP; SCL=1; NOP; SCL=0; NOP; } SDA=1; NOP; SCL=1; NOP; if(SDA==1) ack=0; else ack=1; SCL=0; NOP; } uchar Rcvuchar() {

uchar i,x=0; SDA=1; for(i=0;i<8;i++) { SCL=0; NOP; SCL=1; NOP; x=x<<1; if(SDA) x=x+1; NOP; } SCL=0; NOP; return(x); } void Ack_I2c(bit a) { SDA=a; NOP; SCL=1; NOP; SCL=0; NOP; } bit ISendStr(uchar sla,uchar suba,uchar *s,uchar no) { uchar i;

相关主题