搜档网
当前位置:搜档网 › Encounter使用入门教程

Encounter使用入门教程

Encounter使用入门教程
Encounter使用入门教程

Encounter使用入门教程

本教程介绍一下自动布局布线工具Encounter的使用知识,开始以一个简单的十进制计数器版图的自动实现为例子,之后介绍包含block模块的复杂的版图自动实现。

在Designer Compiler使用入门教程中,笔者设计了一个十进制计数器,并经过Design Compiler对其进行综合后获得了门级综合网表文件counter.sv以及约束文件counter.sdc,根据这两个文件,我们就可以使用SOC Encounter实现十进制计数器的物理版图设计了。首先,我们要准备使用Encounter进行版图自动设计时所需要的数据:

时序库文件:fast.lib,slow.lib,tpz973gwc.lib,tpz973gbc.lib

物理库文件:tsmc18_6lm_cic.lef,tpz973g_5lm_cic.lef,tsmc18_6lm_antenna_cic.lef 门级网表文件:pad_counter.sv

时序约束文件:pad_counter.sdc

IO位置放置文件:pad_counter.io //在设计导入Encounter中指定PAD的放置位置文件,不是必须文件

还有其它一些文件在后面用到时进行介绍。

一、网表中添加PAD、编写IO Assignment File

这里,pad_counter.sv是加入PAD后综合得到的门级网表。工程项目中设计制作完成后的芯片要进行封装,PAD就是芯片在封装时连接封装引线的地方。一般信号输入/输出PAD即I/O PAD要在综合前添加进入网表中,电源电压PAD 可以在综合时添加也可以在综合后添加。接下来就先介绍一下如何在网表中加入PAD,其实给网表加入PAD就是一般的module例化,和Verilog中一般的module 模块例化是一样的。

这里介绍在综合时给设计中加入I/O PAD。十进制计数器的Verilog源程序如下:module Cnt10(reset_n,clk,in_ena,cnt,carry_ena);

input clk;

input reset_n;

input in_ena;

output [3:0] cnt;

output carry_ena;

reg [3:0] cnt;

reg carry_ena;

always @(posedge clk or negedge reset_n)

begin

if(!reset_n)

cnt<=4'b0;

else if(in_ena && cnt==4'd10)

cnt<=4'b0;

else if(in_ena && cnt<4'd10)

cnt<=cnt+1'b1;

end

always @(posedge clk or negedge reset_n)

begin

if(!reset_n)

carry_ena<=1'b0;

else if(in_ena && cnt==4'd10)

carry_ena<=1'b1;

else

carry_ena<=1'b0;

end

endmodule

加入PAD后的十进制计数器Verilog网表如下:

module Cnt10_PAD(reset_n,clk,in_ena,cnt,carry_ena); //顶层模块

input reset_n;

input clk;

input in_ena;

output [3:0] cnt;

output carry_ena;

wire top_clk,top_reset,top_in_ena;

wire top_carry_ena;

wire [3:0] top_cnt;

Cnt10

CNT10(.reset_n(top_reset),.clk(top_clk),.in_ena(top_in_ena),.cnt(top_cnt),.carry_ena (top_carry_ena)); //这里是对Cnt10 module的例化

//下面是I/O PAD module的例化

PDIDGZ PAD_CLK(.PAD(clk),.C(top_clk));

PDIDGZ PAD_RESET(.PAD(reset_n),.C(top_reset));

PDIDGZ PAD_IN_ENA(.PAD(in_ena),.C(top_in_ena));

PDO02CDG PAD_CARRY_ENA(.I(top_carry_ena),.PAD(carry_ena));

PDO02CDG PAD_CNT_0(.I(top_cnt[0]),.PAD(cnt[0]));

PDO02CDG PAD_CNT_1(.I(top_cnt[1]),.PAD(cnt[1]));

PDO02CDG PAD_CNT_2(.I(top_cnt[2]),.PAD(cnt[2]));

PDO02CDG PAD_CNT_3(.I(top_cnt[3]),.PAD(cnt[3]));

endmodule

说明:关于PAD如何例化,首先要查看厂家提供的工艺库中的关于PAD的verilog 文件,如本例子中使用tsmc18工艺库,描述PAD的verilog文件为tpz973g.v,这个文件是PAD文件的verilog描述,包括输入输出的端口等信息。

编写好加入PAD的十进制计数器网表pad_conter.v后,从新使用DC对其进行综合,关于综合这里就不做介绍了,下面把新的综合脚本给出,由于加入了PAD,所以在设计约束文件时,输入驱动和输出负载约束就不需要了,考虑到后面布局布线后还要进行LVS检查,在设计约束中加入了大小写敏感设置,详细的综合脚本pad_run.con内容如下:

# Set the current_design #

read_verilog {counter_pad.v counter.v}

current_design Cnt10_PAD

link

set_operating_conditions -max slow -max_library slow -min fast -min_library fast set_wire_load_mode enclosed

set_wire_load_model -name tsmc18_wl10 -library slow

set_local_link_library {slow.db fast.db}

set_max_area 0

set_max_fanout 5 [get_ports reset_n]

set_max_fanout 4 [get_ports clk]

set_max_fanout 4 [get_ports in_ena]

set_max_transition 0.3 [get_ports reset_n]

set_max_transition 0.3 [get_ports clk]

set_max_transition 0.5 [get_ports in_ena]

create_clock [get_ports clk] -period 10 -waveform {0 5}

set_clock_latency 1 [get_clocks clk]

set_clock_latency -source 1 [get_clocks clk]

set_clock_uncertainty -setup 0.5 [get_clocks clk]

set_clock_uncertainty -hold 0.4 [get_clocks clk]

set_dont_touch_network [get_clocks clk]

set_clock_transition -fall 0.3 [get_clocks clk]

set_clock_transition -rise 0.3 [get_clocks clk]

set_input_delay -clock clk -max 3 [get_ports in_ena]

set_output_delay -clock clk -max 4 [get_ports cnt]

set_output_delay -clock clk -min 0.5 [get_ports cnt]

set_output_delay -clock clk -max 4 [get_ports carry_ena]

set_output_delay -clock clk -min 0.5 [get_ports carry_ena]

compile

report_timing -delay max > ./reports/pad_setup_rt.rpt

report_timing -delay min > ./reports/pad_hold_rt.rpt

report_constraint -verbose > ./reports/pad_rc.rpt

report_qor > ./reports/pad_rq.rpt

remove_unconnected_ports -blast_buses [get_cells -hierarchical *]

set bus_inference_style {%s[%d]}

set bus_naming_style {%s[%d]}

set hdlout_internal_busses true

change_names -hierarchy -rule verilog

define_name_rules name_rule -allowed {a-z A-Z 0-9 _} -max_length 255 -type cell define_name_rules name_rule -allowed {a-z A-Z 0-9 _[]} -max_length 255 -type net define_name_rules name_rule -map {{"\\*cell\\*" "cell"}}

define_name_rules name_rule -case_insensitive

change_names -hierarchy -rules name_rule

write -format verilog -hier -o ./outputs/pad_counter.sv

write -format ddc -hier -o ./outputs/pad_counter.ddc

write_sdc ./outputs/pad_counter.sdc

write_sdf ./outputs/pad_counter.sdf

设置好DC的启动文件.synopsys_dc.setup后,启动DC,在DC的命令行输入处运行命令:

design_vision-xg-t> source pad_run.con

等待DC完成综合后就可以在指定的目录中看到输出文件pad_counter.sv、

pad_counter.sdc等文件了。

进行布局布线前,在pad_counter.sv网表中加入电源PAD和拐角连接PAD,如下图所示:

图1 添加电源PAD和拐角PAD

添加好后保存pad_counter.sv文件,到此就完成了给设计加入PAD了。一般在设计导入到Encounter时,为了实现既定的PAD位置放置,都会在设计导入的时候同时指定设计中各个PAD在Encounter中具体的位置,这可以通过在导入设计的同时导入分配PAD位置的文件pad.io来完成。如果不指定PAD的分配文件,则设计在输入Encounter后PAD的具体位置是随机分配的。IO Assignment File可以自动产生或手动编写,本例的pad_counter.io文件内容如下:

Version: 1

pad: PAD_CLK N

pad: PAD_RESET N

pad: PAD_IN_ENA N

pad: PAD_CARRY_ENA N

pad: PAD_CNT_0 S

pad: PAD_CNT_1 S

pad: PAD_CNT_2 S

pad: PAD_CNT_3 S

pad: PAD_VDD1 W

pad: PAD_VDD2 W

pad: PAD_VSS1 E

pad: PAD_VSS2 E

pad: CORNER1 NW

pad: CORNER2 NE

pad: CORNER3 SE

pad: CORNER4 SW

其中S/N/W/E分别是PAD在Core的南/北/西/东,四个角分别是CORNER1、2、3、4。

二、十进制计数器的APR

新建一个目录,将准备好的文件放入对应目录下面,启动Encounter。启动Encounter图形界面的方式如下,在终端中输入下面的命令:

$ encounter

然后按回车,Encounter软件将被打开,下图显示的是SOC Encounter软件正常启动显示的信息:

图2 Encounter软件的启动

SOC Encounter软件正常启动后的界面如下图所示:

图3 Encounter启动后的界面

上图是对Encounter软件图形界面的介绍,图中介绍了Encounter软件图形界面的各部分的名称,先做一个初步的了解。

1、导入设计文件

在Encounter图形界面中选择Design→Design Import…打开导入设计对话框,如

图:

图4 Design Import对话框

按照图示填好相应的设计文件,然后切换到Advanced项,如下图:

图5 Advanced Tab

选择Power选项,如图中所示填入VDD和VSS。设置好后,点击OK,设计被导入Encounter中,如下图所示:

图6 设计导入到Encounter

2、设计布局

选择Floorplan→Specify Floorplan打开Specify Floorplan对话框,如下图:

图7 Specify Floorplan

按图所示进行设置,完成后点击OK,指定布局后的效果如下:

图8 Specify Floorplan后的效果

3、添加电源环

选择Power→Power Planning→Add Rings…打开Add Rings对话框:

图9 Add Rings对话框

在Net(s):处填入VSS VDD,其它要设置的地方如上图所示,Offset处要选择Center in channel,否则后面电源线连接时会出现连接不上的情况。设置完成后,点击OK完成设置,设置后在Core四周放置好了电源环如下:

图10 Add Rings后的效果

4、放置标准单元

设计导入Encounter后,数据都是存储在Memory中的,需要人为操作进行放置,当然最终还是软件根据特定算法自己自动放置的。在菜单栏中选择Place→Standard Cells and Blocks…打开Place设置框:

图11 Place1

在Basic Tab中取消选择Run Timing Driven Placement和Reorder Scan Connection 项,转到Advanced Tab中,在Congestion Effort中选择Medium项,如图:

图12 Place2

设置好以上条件后,点击OK,Encounter执行Place命令,标准单元就被放入Core中了。

5、Global net connection

下面要连接设计中所有的global net,包括所有标准单元的power/ground pin连接到VDD/VSS,以及把单元里连接1的连接到VDD,把和0连接的连接到VSS。选择Floorplan→Global net connections…项,打开Global Net Connections设置框,按下图所示进行设置:

图13 Global Net Connections

Connect处选择Pins,里面填入VDD,Scope处选择Under Module,然后在To Global Net:处填入VDD,之后点击Add to List后,在Connection List中第一行就设置好了,其他进行类似操作,都设置完成后,先点击Apply按钮,然后点击Check,最后点击Close关闭Global Net Connections设置框,完成设置。

6、Routing the power/ground Nets

选择Route→Special Route…,打开SRoute设置框,如下进行设置:

图14 SRoute设置

在Route处,去选Block pins、Pad rings、Stripes(unconnected)项,其它的使用默认设置即可,然后点击OK完成设置,设置完成后的效果如下图所示:

图15 SRoute后的效果

7、时钟树综合

选择Clock→Create Clock Tree Spec…打开Create Clock Tree Spec对话框,如下图:

图16 Create Clock Tree Spec

按图中所示进行设置,完成后点击OK。然后选择Clock→Specify Clock Tree…选项打开Specify Clock Tree设置框,在Clock Tree File处选择我们刚才创建的Cnt10_PAD.ctstch文件,然后点击OK完成设置。最后选择Clock→Synthesize Clock Tree命令进行时钟树综合。

这一步完成后,检查设计是否有时序问题,在终端中输入report_timing,查看显示信息,如果没有时序问题,就可以进行下一步的详细布线了。

8、详细布线NanoRoute

选择Route→NanoRoute→Route…打开NanoRoute对话框,如下图所示:

图17 NanoRoute设置

按图中进行设置,完成点击OK,该项命令执行后的效果如下:

图18 NanoRoute后的视图

9、添加IO Filler

在终端中执行如下命令:

$ addIoFiller –cell PFEED50 –prefix IOFILLER

$ addIoFiller –cell PFEED35 –prefix IOFILLER

$ addIoFiller –cell PFEED20 –prefix IOFILLER

$ addIoFiller –cell PFEED10 –prefix IOFILLER

$ addIoFiller –cell PFEED5 –prefix IOFILLER

$ addIoFiller –cell PFEED2 –prefix IOFILLER

$ addIoFiller –cell PFEED1 –prefix IOFILLER

$ addIoFiller –cell PFEED01 –prefix IOFILLER –fillAnyGap

$ redraw

这样就在I/O PAD之间加入了IO Filler,可以拉看到原先PAD之间的缝隙被填充了,如下图所示:

图19 添加IO Filler后的效果

10、保存设计

选择Design→Save Design…保存当前设计,这样在下次要进行其他操作时可以直接打开该设计,使其处于当前的设计状态。

选择Design→Save→GDS…导出版图设计为pad_counter.gds,保存设计如下所

示:

设置完成后点击OK,保存版图信息。

保存用于后面LVS的网表文件Cnt10_PAD.v。选择Design→Save→Netlist…打开保存Netlist对话框,在保存的文件名处填入Cnt0_PAD.v,然后点击OK保存版图对应的网表信息。

三、版图后的DRC和LVS

1、版图导入到IC5141工具中

需要的文件有Encounter输出文件0.18um的工艺文件、Virtuoso显示设置文件、DRC和LVS规则文件:

T18drc_13_a25a.drc,

0.18um_Vituoso4.4.tf,

display.drf,

Calibre-lvs-cur_soce,

tsmc18_lvs.spi,

tsmc18_lvs.v,

pad_counter.gds,

Cnt10_PAD.v

新建一个目录,将这些文件放入此目录下,从终端中打开IC5141:

$ icfb&

启动IC5141后在CIW中,选择File→Import→Stream…,在Stream In form里input file处点击Browse…选择pad_counter.gds,Top Cell Name中填Cnt10_PAD,ASCII

Technology File Name处点击Browse…,选择0.18um_Vituoso4.4.tf文件:

图21 版图导入到Virtuoso中

完成设置后,点击OK,这样就把版图导入到版图设计工具中了。如图所示:

图22 版图成功导入

在Library Manager中找到pad_counter,然后在其中找到Cnt10_PAD,双击layout,打开设计的版图:

图23 十进制版图

刚打开的版图显示需要设置一下才会如上图所示,在视图中选择Options→

Display打开显示设置对话框,如图:

按照图中所示进行设置后就可以看到图23所示的显示效果。

2、DRC检查

选择Calibre→Run DRC打开DRC设置框如下所示:

图25 Rules设置

在Rules项中设置DRC规则文件T18drc_13a25a.drc,然后点击Run DRC项,运行DRC检查,运行结果显示如下图:

图26 DRC结果

图中显示中大红叉的地方显示有7个Results,这7个Results是由于材料密度问题引起的,一般是有芯片制造商去解决的,因此本设计DRC没有错误。

2、LVS检查

在做LVS检查时,可以不在IC5141里面做,我们这里在终端中通过执行命令来

完成。首先需要把Encounter输出的Cnt10_PAD.v文件转换为Calibre做LVS认识的文件pad_counter.spi文件,即是要先将.v文件转换为.spi文件。在终端中运行如下命令:

$ v2lvs –v Cnt10_PAD.v –l tsmc18_lvs.v –o pad_counter.spi –s tsmc18_lvs.spi –c cic_ -n

这条命令执行完后,会在当前工作目录下生成pad_counter.spi文件,即hspice网表文件。然后打开Calibre-lvs-cur_soce文件修改里面的内容如下图所示:

图27 修改Calibre-lvs-cur_soce文件

修改后保存。

在终端中运行如下命令:

$ calibre –lvs –spice layout.spi –hier –auto Calibre-lvs-cur_soce

这条命令执行完后会在当前目录下生成LVS报告文件lvs.rep及其其他一些LVS 报告文件,打开lvs.rep查看LVS报告:

Eclipse调试方法入门

Eclipse调试 这个教程将帮助学生获得关于调试的一些关键概念,以及如何进行一个调试 这是我们所熟悉的Eclipse环境,我们从这里开始教程。打开一个我们想进行调试的工程 需要做的第一件事就是给我们的工程设置一个调试特性,点击bug图标之后的下拉三角。弹出Debug窗口 双击Jave Application,我们可以对程序创建一个Java Application型的新配置。选择工程及主类。点击“Apply”然后关闭。

放置断点 断点起到告诉程序什么时候暂停的作用。进入你想放置断点的代码行。右键点击选中行前面的的兰色竖条部分。选择toggle breakpoint。或者双击兰色竖条。 选择toggle breakpoint. 选择Window菜单,切换到debug透视图。这就是Debug透视图,如果你注意到,我们的代码窗口在下方。并且上面多出了两个窗口,左边就是显示我们运行程序的窗口,以及堆栈。右边将显示变量和表达式。

下的箭头符号,进行选择 代码变为绿色,意思是这是将要执行的下一行代码。

现在我们可以执行几个操作。其中比较基础的一个是单步操作。如果断点标注的是一个函数调用,选择单步进入Step Into,你可以执行并进入到代码的下一行;同样的如果你想不执行方法的这一行,你可以执行“Step over”,并且不进入方法。 假设我们使用单步跳入,并且进入了一个函数调用开始调试。这时如果我们使用一个“Step Return”,这将完成执行方法的余下部分。你将顺利的处于方法后的将要执行的那一行代码中。

(继续)按钮。 最后,如果我们不再想进行调试,并且想要程序结束,你可以点击位于左窗口顶端的“terminate”按钮。

Java基础入门教程(适合所有初学者)

第1章 Java语言概述与面向对象思想 1 1.1 Java语言的发展 1 1.1.1 Java语言的产生 1 1.1.2 Java语言的发展 1 1.2 Java语言的特点 2 1.3 面向对象与面向过程的差异 3 1.3.1 面向过程思想回顾 4 1.3.2 面向对象思想介绍 4 1.4 面向对象程序设计中的主要概念和特征 4 1.4.1 主要概念 5 1.4.2 主要特征 5 *1.5 Java与C++的差异 5 1.6本章小结5 习题 5 第2章 Java语言开发环境 6 2.1 JDK 6 2.1.1 JDK的简介6 2.1.2 JDK的构成6 2.1.3 JDK的使用6 2.2 IDE 8 2.2.1 IDE简介8 2.2.2 JBuilder 9 2.2.3 Eclipse 9 2.2.4 相关资源9 2.3 Project管理9 2.3.1 Project的含义9 2.3.2 可行的Project组织模式9 2.3.3 主要开发工具的Project目录10 2.4 本章小结10 习题10 第1章 Java语言概述与面向对象思想 1.1 Java语言的发展 1.1.1 Java语言的产生以介绍面向对象编程的基本概念、基本理论为重点,结合Java语言的语法规则、编程特点和设计思想、强调容易发生错误和编程应注意的地方,使学生能对Java 技术有一个总体了解,通过本课程学习,使学生掌握Java语言的基础知识,理解和掌握面向对象程序设计的基本思想,熟练地使用Java语言进行程序的编写、编译以及调试工作 上世纪90年代初期,Sun公司在研究一种适用于未来的智能设备的编程语言,该语言要具有一些新的特性,以避免C++的一些不足。 该语言起初命名为Oak,来源于语言作者Gosling办公室窗外的一棵橡树(Oak)。后来在注册时候遇到了冲突,于是就从手中的热咖啡联想到了印度尼西亚一个盛产咖啡的岛屿,中文名叫爪哇,Java语言得名于此。 随着Internet的迅速发展,Web应用日益广泛,Java语言也得到了迅速发展。1994年,Gosling

Eclipse_CDT安装及使用教程

Eclipse CDT安装及使用教程 Eclipse CDT安装教程 一、安装配置JDK 1、下载windows jdk 安装包,可以从官网下载,也可以从这里下载,下载完成后,按照一般软件安装的方法安装; 2、安装完成后,找到安装目录,如C:\Program Files\Java\jdk1.6.0_35,记录下来; 3、Windows7下右击电脑桌面的计算机,选择属性,然后点击右边的“高级系统设置”,在弹出的对话框点击“环境变量”,如图。 4、在步骤3中弹出的对话框的下方,看到系统变量,点击下方的“新建”(见图12),然后在变量名的地方填入JA V A_HOME,变量值填入步骤2中记录的路径,然后点击确定;

5、按照步骤4的方法,新建另一个变量,变量名是:CLASSPATH,变量值是:.;%JA V A_HOME%\lib\dt.jar;%JA V A_HOME%\lib\tools.jar;%JA V A_HOME%\jre\lib\rt.jar 统变量中找到变量名为PATH的变量,双击,在变量值的最后加上:;%JA V A_HOME%\bin(见图),然后一路确定。 7、至此,eclipse的运行环境配置成功。

二、配置C/C++编译器MinGW Windows下安装配置MinGW 从MinGW官网下载最新程序,下载地址: https://www.sodocs.net/doc/65682409.html,/project/mingw/Installer/mingw-get-inst/mingw-get-inst-20120426/mi ngw-get-inst-20120426.exe; 双击下载好的程序,然后一路点击“Next”按钮,直到图1的界面,选择“I accept the agreement”,然后点击next。 3、选择路径,默认放在C:\MinGW,点击next;接下来的界面也是next;一直到图中的组件选择界面,勾上C Compiler前面的钩,也可以按自己喜好选上C++ Compiler或其它组件,点击next;在下一个界面点击Install,就会进行安装。

Eclipse教程

附录J:Eclipse教程 By Y.Daniel Liang 付蓉译 该帮助文档包括以下内容: ●Eclipse入门 ●选择透视图 ●创建项目 ●创建Java程序 ●编译和运行Java程序 ●从命令行运行Java Application ●在Eclipse中调试 提示:在学习完第一章后使用本教程第1节~第6节,学习完第二章后可配合本教 程的第7节,开始学习第十四章时可配合本教程的第8节。 0 简介 该教程的使用者包括正在通过使用Eclipse来学习Java课程的学生和想要用Eclipse开发Java项目的程序员。Eclipse是由IBM提供的一个开源的Java程序开发软件。 你也可以使用JDK命令行工具来写Java程序。JDK命令行工具包括一系列独立的程序,如编译器和解释器,都可从命令行来激活。除了JDK命令行工具,市场上还有很多Java的开发工具,包括Borland公司的JBuilder、NetBeans、Sun公司的ONE Studio(商业版的NetBeans)、Eclipse和WebGain Visual Café。这些工具为快速开发Java程序提供集成的开发环境(IDE)。编辑、编辑、构造、调试和在线帮助被集成在一个用户图形界面。有效的使用将会极大的提高编程速度。 这个简短的教程将会帮助你熟悉Eclipse,尤其是在创建项目、创建程序、编译和运行程序方面。 提示:Eclipse可运行在任何的Java虚拟机之上。本文中所有的屏幕截图都来自于运行在Windows之上的Eclipse3.0。你可以到https://www.sodocs.net/doc/65682409.html,下载Eclipse。

安装提示:安装Eclipse之前必须安装JDK1.5。JDK1.5可以从https://www.sodocs.net/doc/65682409.html,/j2se/1.5/download.html下载。Windows版本的Eclipse存放在压缩文件eclipse-SDK-3.0-win32.zip中。将文件解压缩到c:\下,解压缩后所有的文件都放在c:\eclipse中。 1 开始使用Eclipse 假设你已经将Eclipse安装在目录c:\eclipse下。要启动Eclipse,双击c:\eclipse目录下的eclipse图标(如图1): 图1 双击后出现了工作区装载窗口(如图2): 图2 输入你的工作区所在的目录,本例所有项目、程序都存放在c:\smith目录下,故输入c:\smith,然后点击OK,Eclipse的图形界面就展现在你的眼前了(如图3)。

Eclipse教程入门到精通

Eclipse –入门到精通 初级篇 目录 0.环境说明 (8) 1.Eclipse 简介 (9) 1.1 历史背景 (9) 1.2 开发原始码软件 (10) 1.3 Eclipse 版本介绍 (10) 1.4 跨语言、跨平台 (11) 2. Eclipse Platform (13) 2.1 概观 (13) 2.2 架构 (13) 2.3 项目与资料夹 (14) 2.4 平台核心 (14) 2.5 工作区(workspace) (15) 2.6 工作台(workbench) (15) 2.6.1 视图(View) (16) 2.6.2 编辑器(Editor) (19) 2.6.3 视景(Perspective) (22) 2.7 重新排列视图和编辑器 (23) 2.7.1 放置游标 (23) 2.7.2 重新排列视图 (24) 2.7.3 并列编辑器 (25) 2.7.4 重新排列附加标签的视图 (26) 2.7.5 最大化 (27) 2.8 菜单和工具列 (28) 2.8.1 菜单 (29) 2.8.2 图标和按钮 (44) 2.9 视景 (49) 2.9.1 新视景 (49) 2.9.2 新窗口 (51) 2.9.3 储存视景 (52) 2.9.4 配置视景 (54) 2.10 作业和标记 (55) 2.10.1 不相关的作业 (56) 2.10.2 相关的作业 (56) 2.10.3 开启档案 (58) 2.11 书签 (58)

2.11.1 新增和检视书签 (59) Eclipse 中文教程.doc 第 4 頁,共 288 頁 2.11.2 使用书签 (61) 2.11.3 移除书签 (61) 2.12 快速视图(Fast View) (63) 2.12.1 建立快速视图 (63) 2.12.2 使用快速视图 (64) 2.13 比较 (65) 2.13.1 简单比较 (66) 2.13.2 了解比较 (67) 2.13.3 使用比较 (69) 2.14 历史纪录 (71) 2.15 回应 UI (73) 3.喜好设定(Preferences) ...................................................... 76 3.1 工作台(Workbench) (77) 3.1.1 外观(Appearance) (79) 3.1.2 功能(Capabilities) (80) 3.1.3 颜色和字型(Colors and Fonts) (82) 3.1.4 比较/修正(Compare/Patch) (83) 3.1.5 编辑器(Editors) (86) 3.1.6 档案关联(File Associations) (87) 3.1.7 按键(Keys) (90) 3.1.8 标签装饰(Label Decorations) (99) 3.1.9 链接资源(Linked Resources) (99) 3.1.10 历史纪录(Local History) (101) 3.1.11 视景 (102) 3.1.12 搜寻(Search) (104) 3.1.13 启动和关闭(Startup and Shutdown) (105) 3.2 Ant (107) 3.2.1 Ant 编辑器(Ant Editor) (107) 3.2.2 Ant 执行时期(Ant Runtime) (109) 3.3 建置次序(Build Order) (112) 3.4 说明(Help) (113) 3.4.1 说明服务器(Help Server) (115) 3.5 自动更新(Install/Update) (116) 3.6 Java (117) 3.6.1 外观(Appearance) (118) 3.6.2 类别路径变量(Classpath variables) (119) 3.6.3 程序代码格式制作器(Code Formatter) (120) 3.6.4 程序代码产生(Code generation) (122) Eclipse 中文教程.doc 第 5 頁,共 288 頁

eclipse入门

Eclipse Overview: Threshold pressure 毛细管入口压力 SCAL special core analysis 岩心分析 VFP vertical flow performance 纵向流动表现 PEBI perpendicular bisector 垂直二等分物、垂直等分线 Regress 退回、回归 LGR local grid refinement 局部网格加密 Script 手稿、原稿、正本 Incremental\increment 增加的、增加 DM data m anager Misc: m iscellaneous杂项。 Cartesian:直角坐标、笛卡尔坐标 Toggle:反复(变化) Diffusivity 扩散 FVF form ation volume factor 地层体积系数 wrt 相对于 Getting started\开始: 双击启动launcher,点office,默认directory及version点run,出现检查框,随后出现office 主对话框。 Tutorial 1: standard usage\教程1:标准用法 1、Case management/项目管理 在office主菜单,点file\new project,选择directory(可直接选在2004a下),命名为tuit1。在office主菜单,点case\import,选择brillig.data(搜一下,在tutorials下有),此时右侧说明区出现各项文字。 在office主菜单,点view\display m odel in DM,再点view\display m odel in grid section。此时查看在所选的目录文件夹下产生一系列文件: 地质关键字及局部加密在***-GGO.INC (第二三个字符GO代表geometry) GRID性质关键字在***-GPRO.INC (第二三个字符PR代表property) GRID操作关键字在***-GOPP.INC (第二三个字符OP代表operational) GRID其他关键字在***-GOTH.INC (第二三个字符OT代表other) GRID断块属性更改在***-EDIT.INC PVT数据在***-PVT.INC 饱和度属性在***-SCAL.INC 初始化数据在***-INIT.INC 局部数据在***-REG.INC 生产计划数据在***-SCH.INC 总结数据在***-SUM.INC 此外产生GRID字头文件***-GHDR,该文件在模拟过程中不使用。(HD代表header.) 在office主菜单,点file\save project保存文件。注意,因路径的原因,要特别慎重使用save project as,如果拷文件,应使用backup及restore。 2、Data m anager\数据管理 在office左侧主菜单,点data,出现data m anager module对话框。显示区出现断层及井点。 2.1、Case definition\ 项目定义 点data m anager \sections\case definition,出现case definition manager对话框。 在首行的simulator选blackoil。 点general签,将title改为import case,日期改为1-jan-1990,确保units选field、type 选normal。

eclipse教程——入门篇(编程爱好者学习的经典教材)

Eclipse教程 By Y.Daniel Liang 该帮助文档包括以下内容: ●Eclipse入门 ●选择透视图 ●创建项目 ●创建Java程序 ●编译和运行Java程序 ●从命令行运行Java Application ●在Eclipse中调试 提示:在学习完第一章后使用本教程第1节~第6节,学习完第二章后可配合本教程的第7节,开始学习第十四章时可配合本教程的第8节。 0 简介 该教程的使用者包括正在通过使用Eclipse来学习Java课程的学生和想要用Eclipse开发Java项目的程序员。Eclipse是由IBM提供的一个开源的Java程序开发软件。 你也可以使用JDK命令行工具来写Java程序。JDK命令行工具包括一系列独立的程序,如编译器和解释器,都可从命令行来激活。除了JDK命令行工具,市场上还有很多Java的开发工具,包括Borland公司的JBuilder、NetBeans、Sun公司的ONE Studio(商业版的NetBeans)、Eclipse和WebGain Visual Café。这些工具为快速开发Java程序提供集成的开发环境(IDE)。编辑、编辑、构造、调试和在线帮助被集成在一个用户图形界面。有效的使用将会极大的提高编程速度。 这个简短的教程将会帮助你熟悉Eclipse,尤其是在创建项目、创建程序、编译和运行程序方面。 提示:Eclipse可运行在任何的Java虚拟机之上。本文中所有的屏幕截图都来自于运行在Windows之上的Eclipse3.0。你可以到下载Eclipse。 安装提示:安装Eclipse之前必须安装JDK1.5。JDK1.5可以从下载。Windows版本的Eclipse存放在压缩文件eclipse-SDK-3.0-win32.zip中。将文件解压缩到c:\下,解压缩后

最新eclipse教程——基础篇

Eclipse教程 该帮助文档包括以下内容: ●Eclipse入门 ●选择透视图 ●创建项目 ●创建Java程序 ●编译和运行Java程序 ●从命令行运行Java Application ●在Eclipse中调试 0 简介 该教程的使用者包括正在通过使用Eclipse来学习Java课程的学生和想要用Eclipse开发Java项目的程序员。Eclipse是由IBM提供的一个开源的Java程序开发软件。 你也可以使用JDK命令行工具来写Java程序。JDK命令行工具包括一系列独立的程序,如编译器和解释器,都可从命令行来激活。除了JDK命令行工具,市场上还有很多Java的开发工具,包括Borland公司的JBuilder、NetBeans、Sun公司的ONE Studio(商业版的NetBeans)、Eclipse和WebGain Visual Café。这些工具为快速开发Java程序提供集成的开发环境(IDE)。编辑、编辑、构造、调试和在线帮助被集成在一个用户图形界面。有效的使用将会极大的提高编程速度。 这个简短的教程将会帮助你熟悉Eclipse,尤其是在创建项目、创建程序、编译和运行程序方面。 提示:Eclipse可运行在任何的Java虚拟机之上。本文中所有的屏幕截图都来自于运行在Windows之上的Eclipse3.0。你可以到下载Eclipse。 安装提示:安装Eclipse之前必须安装JDK1.5。JDK1.5可以从下载。Windows版本的Eclipse存放在压缩文件eclipse-SDK-3.0-win32.zip中。将文件解压缩到c:\下,解压缩后所有的文件都放在c:\eclipse中。

Eclipse开发环境配置-indigo

开发环境配置1、java环境 安装 本系统使用java7开发,版本如下: 配置 安装后需要配置环境变量,如下所示: 配置classpath,如下:

并在path中添加java7安装目录中的bin目录路径,如下所示:

检验是否安装成功,cmd进入命令行模式,输入java,出现如下画面表示安装java完成: 2、web容器 安装 本系统开发环境使用tomcat6及以上版本,“开发工具”目录提供了apache-tomcat-7.0.53.zip。 解压安装包到自己的电脑上,建议不要解压到中文目录下,老师的tomcat7放在了E盘根目录E:\apache-tomcat-7.0.53。 配置 网上有些安装教程提到需要配置CATALINA_HOME环境变量,此变量指向了tomcat 的目录,主要是为了方便tomcat运行使用,如果配置了,你的电脑上有多个tomcat目录时只能成功运行那个和CATALINA_HOME一致的tomcat,如果不配置,在你启动tomcat时它会自己确定tomcat目录,这里我们就不配置了。

运行 运行tomcat目录中的bin/startup.bat,启动tomcat,如果启动不起来就到logs查看错误日志,启动后有浏览器输入http://localhost:8080/,进入如下画面表示tomcat安装成功。 3、eclipse环境 eclipse安装 本系统开发使用eclipse-indigo进行开发,在“开发工具”目录中有eclipse-3.7-indigo 32位.zip 安装包。 解压安装包到固定位置,建议不要解压到中文目录,运行:eclipse.exe,初次运行会让你确定自己的工作区,工作区是你创建project工程的地方,选择一个容易操作到的目录作为你的工作区,建议工作区目录不要设在中文目录中。 Eclipse插件安装: 将“开发工具”目录的“eclipse-plugins”目录拷贝到自己的电脑上,“eclipse-plugins”目录中包括了三个插件,另将此目录的txt文件拷贝到eclipse目录中dropins目录下,并对每个

Eclipse使用教程

1、ALT+/:提示 2、Ctrl+shift +F:自动排版 3、Ctrl+shift +C:多行注释 4、Windows—reset view 初始化视图 5、输入syso按下ALT+/就会自动生成System.out.println(); 6、Java类名是大写开头才规范。如果类名写成了小写,可选中类名,右键选择 Refactor-Rename(重构这个类的名字) 7、Ctrl+E,当你打开多个java文件时,用这个组合可以选择相应的java文件。 8、常用快捷键

9、Eclipse内存不足问题 方法一: 打开eclipse,选择Window--Preferences...在对话框左边的树上双击Java,再双击Installed JREs,在右边选择前面有对勾的JRE,再单击右边的“Edit”按钮。 出现一个Edit JRE 的对话框,在其中的Default VM Arguments: 框中输入-Xms128m -Xmx512m ,设置Java拟虚机内存使用最小是128M,最大是512M,再单击“OK”并关闭Edit JRE 对话框。再单击“OK”关闭Preferences对话框,重启 Eclipse验证。 方法二: 可以在eclipse.ini文件中将默认设置改为-vmargs -Xms128M -Xmx512M -XX:PermSize=64M -XX:MaxPermSize=128M或者更大,视机器的内存配置而定,如果这样解决不了就右击eclipse快捷方式,在属性---快捷方式标签下---目标中输入 D:/eclipse-SDK-3.2RC7-win32/eclipse/eclipse.exe -clean -vmargs -Xms128M -Xmx512M -XX:PermSize=64M -XX:MaxPermSize=128M其中 D:/eclipse-SDK-3.2RC7-win32/eclipse/eclipse.exeeclipse的位置。

如何使用eclipse打开已有工程

如何使用eclipse打开已有工程 在开始使用Eclipse的时候,会发现一个问题,那就是如何打开一个现有的Eclipse工程,开始在菜单中找了好久也没找到。 其实,Eclipse生成的结果不像VC,Jcreator那样可以直接打开,若要打开非workspace 文件夹下的其他已有工程,可以打开菜单file->import→general→existing project into space.在select root directory中选中要打开的文件夹即可。此时如果选择copy existing project into workspace就会同时将文件拷贝到workspace下。这里首先要保证要保证Eclipse两个文件.classpath和.project还在,不然无法导入,就是说Eclipse的import只认自己家的东西。 如果要打开的工程与Eclipse的配置不同,在运行时会产生问题。解决方法是: 打开菜单project→properties修改。主要需要修改的是java build path项。 如何使用Eclipse导入并运行源码 由于eclipse用得不是很熟,还不知道怎么样把已有的文件导入到工程中来,网上研究,顺利解决。 我的源码存放地址是: C:\Documents and Settings\Administrator\桌面\手机QQ2007正式版\src 创建eclipse工程就不赘述了,建立的工程文件目录是:C:\Documents and Settings\administrator\桌面\MyQQ 我使用的是eclipse3.2.1中文版,导入源码到工作空间中去,选择文件->导入,然后再选择文件系统,再选择要导入的源码的路径即可导入。导入后打开项目->属性对话框,在Java 构建路径中的源代码中选择想要编译的目录,并且可以设置输出路径,点OK后即可对所导入的源文件进行编译。十分方便。由于我导入的源文件下还有目录,所以这时会报错,因为导入后会按包的形式组织,所以会报以下错误: The declared package does not match the expected package appendixaStringer.javaThinking in Java/appendixaline 12006年11月11日14:11:203870 这时只要在程序的最前面加上package命令就可以了,例如对于以上的报错,需要加入package appendixa即可。编译后接着就是运行了,运行的时候要注意,需要在工作路径下按照包来调用,例如我的工作路径是\thinking in java\c04\,下有SimpleConstructor.java文件,编译完成后,需要在\thinking in java目录下输入:java c04.SimpleConstructor,这里包括了正确的包结构,这样才能正常运行。没有包含正确的包结构或者没有在正确的路径下操作,则会报以下错: Exception in thread "main" https://www.sodocs.net/doc/65682409.html,ng.NoClassDefFoundError: c04/SimpleConstructor 注意目录结构是/thinking in java\c04\SimpleConstructor,所以要在thinking in java目录下运行以下命令,注意要加包结构。 运行结果如下: D:\javacard\Thinking in Java>java c04.SimpleConstructor Creating Rock Creating Rock Creating Rock Creating Rock

Eclipse中文教程完美版

1.Eclipse简介 Eclipse就像软件开发者的『打铁铺』,它一开始备有火炉、铁钻与铁锤。就像铁匠会用现有的工具打造新的工具,也能用Eclipse打造新工具来开发软件-这些新工具可扩充Eclipse的功能。(Eclipse其中一个卖点就是它的扩充性) 1.1历史背景 Eclipse这样功能完整且成熟的开发环境,是由蓝色巨人IBM所释出。IBM花了4千万美金来开发这个 IDE(Integrated Development Environment)。第一版1.0在2001年11月释出,随后逐渐受到欢迎。 Eclipse已经成为开放原始码计划(Open Source Project),大部分的开发扔然掌握在IBM手中,但是有一部份由https://www.sodocs.net/doc/65682409.html,的软件联盟主导。() Eclipse项目由Project Management Committee(PMC)所管理,它综观项目全局,Eclipse项目分成3个子项目: ●平台-Platform ●开发工具箱-Java Development Toolkit(JDT) ●外挂开发环境-Plug-in Development Environment(PDE) 这些子项目又细分成更多子项目。例如Platform子项目包含数各组件,如Compare、Help与Search。JDT 子项目包括三各组件:User Interface(UI)、核心(Core)及除错(Debug)。PDE子项目包含两各组件:UI与Core。 1.2开发原始码软件 Eclipse是开放原始码,结果很多人在使用的时候都不注重合法权的问题。开放原始码软件让使用者能够取得软件的原始码,有权去修改和散布这个软件。如果想修改软件,这件事的另一面就是,除非其它人对修改后的软件也有相同的权力,否则是不能散布修改后的软件,这种权利和著作权(copyright)相反,开放原始码项目中有时称之为著作义(copyleft)。 有些开放原始码许可书,坚持要求任何和其它开发原始码合组成的软件也必须是开放原始码。然而,Eclipse 使用的开放原始码许可书:公共公众许可书-Common Public License(CPL)作为授权方式,设计上是可以容许商业利益的。CPL可以容许Eclipse和其它开放原始码软件合组时,能够以更严谨的许可书散布软件,以求用于商业途径。CPL经过Open Software Initiative(OSI)认证,其内容符合开放原始码授权的需求。 1.3 Eclipse版本介绍 可以从https://www.sodocs.net/doc/65682409.html,网站()下载,可以发现『最新』与『最好』的版本,这两种版本通常不一样,基本上有四种版本-或建置(build)可供下载: ●释出版(Release builds) 由Eclipse开发团队所宣称的主要稳定版本。Release builds经过完整测试,并具有一致性、定义 清楚的功能。它的定位就跟上市的商业软件一样。 ●稳定版(Stable builds) 比Release build新一级的版本,经由Eclipse开发团队测试,并认定它相当稳定。新功能通常会 在此过渡版本出现。它的定位就跟商业软件的beta版一样。 ●整合版(Integration builds) 此版本的各个独立的组件已经过Eclipse开发团队认定具稳定度,但不保证兜在一起没问题。若兜 在一起够稳定,它就有可能晋级成Stable build。 ●当日最新版(Nightly builds) 此版本显然是从最新的原始码产生出来的。可想而知,此版本当然不保证它跑起来没问题,搞不好

编程入门教程

编程入门教程 编程入门教程由勤快学基础教程https://www.sodocs.net/doc/65682409.html,梳理的一本面向程序开发入门初学者的编程入门教程,是一个涵盖了游戏、PLC、VB、数控、JAVA、APP、Matlab、C语言、Shell、IOS、Android安卓等手机和PC编程的入门教程。 1.编程入门教程 1.1. 游戏编程入门 游戏编程入门适用于任何对C++语言有基本了解的读者阅读,适宜作为读者进入游戏开发领域的技术入门学习用书。 游戏编程入门介绍如何设计和构建自己的电脑游戏。游戏编程入门不是泛泛地介绍编程理论,而是引导读者开发一个“即插即用”的游戏引擎,可以增强和重用这个游戏引擎以开发各种游戏。书中包括了7个完整游戏的详尽解释以及示例代码,使读者准备好开始自己的游戏项目开发。如果读者喜爱玩游戏,那么只需在学习了如何开发它们之后,就可以玩上自己开发的游戏了。 光盘内容:Bloodshed Dev-C++开发环境;在游戏编程入门中开发的示例和游戏的完整源代码和可执行程序文件;C++语言和Windows编程的入门指导。 1.2. plc编程入门 可编程序控制器,英文称Programmable Controller,简称PC。但由于PC容易和个人计算机(Personal Computer)混淆,故人们仍习惯地用PLC作为可编程序控制器的缩写。它是一个以微处理器为核心的数字运算操作的电子系统装置,专为在工业现场应用而设计,它采用可编程序的存储器,用以在其内部存储执行逻辑运算、顺序控制、定时/计数和算术运算等操作指令,并通过数字式或模拟式的输入、输出接口,控制各种类型的机械或生产过程。PLC 是微机技术与传统的继电接触控制技术相结合的产物,它克服了继电接触控制系统中的机械触点的接线复杂、可靠性低、功耗高、通用性和灵活性差的缺点,充分利用了微处理器的优点,又照顾到现场电气操作维修人员的技能与习惯,特别是PLC的程序编制,不需要专门的计算机编程语言知识,而是采用了一套以继电器梯形图为基础的简单指令形式,使用户程序编制形象、直观、方便易学;调试与查错也都很方便。用户在购到所需的PLC后,只需按说明书的提示,做少量的接线和简易的用户程序编制工作,就可灵活方便地将PLC应用于生产实践。 1.3. 电脑编程入门 编辑程序让电脑执行的过程就叫编程很多软件都可以编程具有代表性的计算机语言有Java,BASIC C,C++,VB,VF,SQL,网页编程JSP,ASP,PHP ,软件是eclipse,Microsoft Visual

相关主题