搜档网
当前位置:搜档网 › 专用集成电路设计

专用集成电路设计

专用集成电路设计
专用集成电路设计

专用集成电路课程设计

简易电子琴

通信工程学院

011051班

侯珂

01105023

目录

1 引言 (1)

1.1设计的目的 (1)

1.2设计的基本内容 (2)

2 EDA、VHDL简介 (2)

2.1EDA技术 (2)

2.2硬件描述语言——VHDL (3)

2.2.1 VHDL的简介 (3)

2.2.2 VHDL语言的特点 (3)

2.2.3 VHDL的设计流程 (4)

3 简易电子琴设计过程 (5)

3.1简易电子琴的工作原理 (5)

3.2简易电子琴的工作流程图 (5)

3.3简易电子琴中各模块的设计 (6)

3.3.1 乐曲自动演奏模块 (7)

3.3.2 音调发生模块 (8)

3.3.3 数控分频模块 (9)

3.3.4 顶层设计 (10)

4 系统仿真 (12)

5 结束语 (14)

收获和体会.................................................................................................. 错误!未定义书签。参考文献 .. (15)

附录 (16)

1 引言

我们生活在一个信息时代,各种电子产品层出不穷,作为一个计算机专业的学生,了解这些电子产品的基本组成和设计原理是十分必要的,我们学习的是计算机组成的理论知识,而课程设计正是对我们学习的理论的实践与巩固。本设计主要介绍的是一个用超高速硬件描述语言VHDL设计的一个具有若干功能的简易电子琴,其理论基础来源于计算机组成原理的时钟分频器。

摘要本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值。

关键字电子琴、EDA、VHDL、音调发生

1.1 设计的目的

本次设计的目的就是在掌握计算机组成原理理论的基础上,了解EDA技术,掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,例如本课程设计就是基于所学的计算机原理中的时钟分频器和定时器的基础之上的,通过本课程设计,达到巩固和综合运用计算机原理中的知识,理论联系实际,巩固所学理论知识,并且提高自己通过所学理论分析、解决计算机实际问题的能力。

1.2 设计的基本内容

基于MAX+PLUS平台,运用VHDL语言对简易电子琴的各个模块进行设计,并使用EDA 工具对各模块进行仿真验证。本设计包含如下三个模块:乐曲自动演奏模块,音调发生模块,数控分频模块,最后把各个模块整合后,通过电路的输入输出对应关系连接起来。

2 EDA、VHDL简介

2.1 EDA技术

EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,有狭义与广义之分,本设计讨论的是狭义的EDA技术。它是指以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成软件方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直到对于特定目标芯片的适配编译、逻辑映射、逻辑下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。

2.2 硬件描述语言——VHDL

2.2.1 VHDL的简介

VHDL是一种用来描述数字逻辑系统的“编程语言”它的全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE(The Institute of Electrical and Electronics Engineers)和美国国防部确认为标准硬件描述语言。它源于美国政府于1980年开始启动的超高速集成电路计划,VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件、一个电路模块或一个系统)分成外部(或称可是部分,即端口)和内部(或称不可视部分,既设计实体的内部功能和算法完成部分)两部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的。VHDL的应用必将成为当前以及未来EDA解决方案的核心,更是整个电子逻辑系统设计的核心。

2.2.2 VHDL语言的特点

(1)VHDL具有更强的行为描述能力,从而决定了他成为电子工程领域事实上通用的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

(2)VHDL语句的行为描述能力和程序结构,决定了他具有支持大规模设计的分解和已有设计的再利用功能。高效、高速完成符合市场需求的必须有多人甚至多个代发

组共同并行工作才能实现的大规模系统设计。VHDL中设计实体的概念、程序包的概念、设计库的概念为设计的分解和并行工作提供了有利的支持。

(3)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期,就能查验设计系统的功能可行性,随时可对设计进行仿真模拟,使设计者对整个工程的结构和功能可行性做出判断。

(4)用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表(根据不同的实现芯片)。

(5)VHDL对设计的描述具有相对独立性。设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。正因为VHDL的硬件描述与具体的工艺技术和硬件结构无关,所以VHDL设计程序的硬件实现目标器件有广阔的悬着范围。

(6)VHDL具有类属描述语句和子程序调用等程序,对于完成的设计,在不改变源程序的条件下,只需要改变类属参数量或者函数,就能轻易地改变设计的规模和结构。

2.2.3 VHDL的设计流程

用VHDL语言设计电路的流程:

在用VHDL语言来设计电路时,主要的过程是这样的:

(1)创建VHDL设计工程及使用文本编辑器输入设计源文件。

(2)使用编译工具编译源文件。VHDL的编译器有很多,ACTIVE公司,MODELSIM 公司,SYNPLICITY公司,SYNOPSYS公司,VERIBEST公司等都有自己的编译器。

(3)目标器件的选择和源程序的编译及综合。

(4)编辑测试文件及器件引脚的锁定和适配。

(5)系统的功能仿真。对进入功能仿真,给测试向量赋值,再设置波形的观察格

式。作为一个独立的设计项目而言,仿真文件的提供足可以证明你设计的完整性。

(6)连接硬件测试系统,进行下载操作,再通过硬件系统进行测试。

(7)综合。综合的目的是在于将设计的源文件由语言转换为实际的电路。这一部分的最终目的是生成门电路级的网表(Netlist)。

(8)布局、布线。这一步的目的是生成用于烧写的编程文件。在这一步,将用到第(7)步生成的网表并根据CPLD/FPG厂商的器件容量,结构等进行布局、布线。这就好像在设计PCB时的布局布线一样。先将各个设计中的门根据网表的内容和器件的结构放在器件的特定部位。然后,在根据网表中提供的各门的连接,把各个门的输入输出连接起来。

(9)后仿真。这一步主要是为了确定你的设计在经过布局布线之后,是不是还满足

你的设计要求。

3 简易电子琴设计过程

3.1简易电子琴的工作原理

音乐产生原理及硬件设计由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了,

3.2简易电子琴的工作流程图

开始

TO初始化并开中断允放TO中断

按键按下是否成功

识别按键功能

根据按键功能,装入音符T到TO中

启动TO工作

按键释放是否成功?

停止TO工作

TO中断入

重装THO,TLO初值

P1.0取反

中断返回

图3.2 简易电子琴的工作流程图

3.3简易电子琴中各模块的设计

为了更清楚的了解电子琴的工作过程,我们利用EDA工具(本课程设计Max+plus)对各个模块实施时序仿真(Timing Simulation),由自顶向下的设计方式,最后将三个

模块进行整合,做出简易电子琴整个系统的时序仿真图。

3.3.1 乐曲自动演奏模块

乐曲自动演奏模块的作用是产生8位发声控制输入信号。当进行自动演奏时,由存储在此模块中的8位二进制作为发声控制输入,从而自动演奏乐曲。这段模块的原理图如图3.3所示:

CLK

AUTO INDEX0[7.0]

INDEX2[7.0]

3.3 乐曲自动演奏模块原理图

乐曲自动演奏模块可以由VHDL语言来实现,下面是一段主要代码:

BEGIN

IF AUTO='0'THEN

CASE COUNT IS

WHEN 0=>INDEX0<="00000100"; --3

WHEN 1=>INDEX0<="00000100"; --3

WHEN 2=>INDEX0<="00000100"; --3

WHEN 3=>INDEX0<="00000100"; --3

WHEN 29=>INDEX0<="00000100"; --3

WHEN 30=>INDEX0<="00000010"; --2

WHEN 31=>INDEX0<="00000010"; --2

END CASE;

ELSE INDEX0<=INDEX2;

END IF;

END PROCESS;

3.3.2 音调发生模块

音调发生模块的作用是产生音阶的分频预置值。当8位发声控制输入信号中的某一位为高电平时,则对应某一音阶的数值将输出,该数值即为该音阶的分频预置值,分频预置值控制数控分频模块进行分频,由此可得到每个音阶对应的频率。

图3.5是音调发生模块的原理图:

TONE[10.0]

INDEX[7.0] HIGH

CODE[6.0]

图3.5 音调发生模块原理图

音调发生模块可以由VHDL语言来实现,下面是一段主要代码:

BEGIN

CASE INDEX IS

WHEN"00000001"=>TONE0<=773;CODE<="1001111";HIGH<='1';

WHEN"00000010"=>TONE0<=912;CODE<="0010010";HIGH<='1';

WHEN"00000100"=>TONE0<=1036;CODE<="0000110";HIGH<='1';

WHEN"00001000"=>TONE0<=1116;CODE<="1001100";HIGH<='1';

WHEN"00010000"=>TONE0<=1197;CODE<="0100100";HIGH<='0';

WHEN"00100000"=>TONE0<=1290;CODE<="0100000";HIGH<='0';

WHEN"01000000"=>TONE0<=1372;CODE<="0001111";HIGH<='0';

WHEN"10000000"=>TONE0<=1410;CODE<="0000000";HIGH<='0';

WHEN OTHERS=>TONE<=2047;CODE<="0000001";HIGH<='0';

END CASE;

END PROCESS;

3.3.3 数控分频模块

在对计算机组成原理的学习中,我们知道数控分频器的功能是在输入端输入不同数据时,对输入时钟产生不同的分频比,输出不同频率的时钟,以改变输出信号的频率。本设计中数控分频模块是利用并行预置数的减法计数器对时基脉冲进行分频,得到与1、2、3、4、5、6、7七个音符相对应的频率。

数控分频模块原理图如图3.7所示:

CLK1 SPKS

TONE1[10.0]

图3.7 数控分频模块原理图

其主要VHDL代码如下所示:

BEGIN

PROCESS(CLK1)

VARIABLE COUNT:INTEGER RANGE 0 TO 8;

BEGIN

IF(CLK'EVENT AND CLK1='1')THEN

COUTNT:=COUNT +1;

IF COUNT=2 THEN

PRECLK<='1';

ELSE COUNT=4 THEN

PRECLK<='0';COUTN:=0;

END IF;

END IF;

END PROCESS;

PROCESS(PRECLK,TONE1)

VARIABLE COUNT11:INTEGER RANGE 0 TO 2047;

BEGIN

IF(PRECLK'EVENT AND PRECLK='1')THEN

IF COUNT11

COUNT11:=COUNT11 +1;FULLSPKS<='1';

ELSE

COUNT11:=0;FULLSPKS<='0';

END IF;

END IF;

END PROCESS;

3.3.4 顶层设计

把以上设计的各个模块进行整合,最后我们得到了系统的整个工作原理图,如图3.10:

3.10 简易电子琴的工作原理图

完成整个系统顶层设计的主要VHDL代码如下:

ARCHITECTURE ART OF DIANZIQIN IS

COMPONENT AUTO

PORT(CLK: IN STD_LOGIC;

AUTO: IN STD_LOGIC;

INDEX2:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

INDEX0:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END COMPONENT;

COMPONENT TONE

PORT ( INDEX: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CODE: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

HIGH: OUT STD_LOGIC;

TONE0:OUT INTEGER RANGE 0 TO 2047);

END COMPONENT;

COMPONENT FENPIN

PORT(CLK1: IN STD_LOGIC;

TONE1: IN INTEGER RANGE 0 TO 2047;

SPKS: OUT STD_LOGIC);

END COMPONET;

4 系统仿真

乐曲自动演奏模块由VHDL语言实现后,其仿真图如图3.4所示。

图3.4 乐曲自动演奏模块的仿真

音调发生模块由VHDL实现后,其仿真图如图3.6所示。

图3.6 音调发生模块仿真图

数控分频模块由VHDL程序实现后,其仿真图如图3.8所示。

图3.8 数控分频模块仿真图

最后进一步利用VHDL完成对整个系统的顶层设计,其仿真图如3.9所示:

图3.9 简易电子琴整个系统的仿真图

5 结束语

通过两个多周的紧张工作,终于完成了简易电子琴的设计,这个课程设计使我受益匪浅,他使我了解了硬件设计的整个流程,并且加深了我对这门的课内容的理解,通过这个课程设计,不仅使我了解了这门课的脊髓,而且使我对VHDL语言从陌生到初步理解,扩充的我的知识面。

这个课程设计也培养了我们的耐心和毅力,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,由于这个课程设计也使我积累了一些经验,相信这些经验在我以后的学习工作中会有很大的作用。此课程设计也使我了解了VHDL 设计的方便灵活性,这是我们跨入计算机硬件行业很好的一次锻炼。

收获和体会

简易电子琴这个题目难度适中,但是我们对我们这些初学者来说还是有点难度的,毕竟平时学的都是书本上的理论知识,很少有机会把他们用到真正的设计中去,而我们自己并没有真正地去用VHDL语言写程序,没有去做实际的东西。

课程设计刚开始,拿着选定的题目不知如何入手。毕竟课程设计不同于实验课,电路图和程序都要自己设计。静下心来,仔细分析题目,再加上指导老师的说明与提示和同组成员的帮助,心中才有了谱。将整个系统根据不同的功能化分成模块,再分别进行设计,逐个攻破,最后再将其整合即可。用VHDL进行设计,首先应该理解,VHDL 语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用VHDL“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。但是试验中也出现了一些不熟练的操作问题和一些复杂程序的不能完全理解都需要我在平时多学习,进一步的完善自己。在实习中经常会遇到一些自己可能

暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间也会从别人上上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不同的思路,其他人的设计一定有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它不但能巩固我们已所学的电子技术的理论知识,而且能提高我们的电子电路的设计水平,还能加强我们综合分析问题和解决问题的能力,进一步培养我们的实验技能和动手能力,启发我们的创新意识几创新思维。

通过这次课程设计,使我受益颇多。了解到课程实习设计是开端,连接是关键,测试是必须。既巩固了课堂上学到的理论知识,又掌握了常用集成电路芯片的使用。在此基础上学习了数字系统设计的基本思想和方法,学会了科学地分析实际问题,通过查资料、分析资料及请教老师和同学等多种途径,独立解决问题。同时,也培养了我认真严谨的态度。完成了自己这个题目,发现我们很多时候,都是没有好好地把知识学扎实,特别是细节知识方面更是模棱两可,当实际编程时就会出现问题,所以自己要仔细看书本的内容,何况我们的课本自己都还有好多内容没有认真地看。

以上就是我的收获和体会。

参考文献

[1] 曹昕燕,周凤臣.EDA技术实验与课程设计.北京:清华大学出版社,2006

[2] 张亦华,延明. 数字电路EDA入门.北京:北京邮电大学出版社,2003

[3]王爱英.计算机组成与结构.北京:清华大学出版社,2007

[4] 杨刚,龙海燕.现代电子技术——VHDL与数字系统设计.北京:电子工业出版社,2004

[5] 侯伯亨,顾新.VHDL 硬件描述语言与数字逻辑电路设计[M].西安:西安电子科技大学出版社,2000

附录(源代码)

1.乐曲自动演奏模块的源程序如下所示:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY AUTO IS

PORT ( CLK: INSTD_LOGIC;--系统时钟控制信号

AUTO: INSTD_LOGIC;

CLK2: BUFFER STD_LOGIC;

INDEX2: INSTD_LOGIC)VECTOR( 7 DOWNTO 0 );

INDEX0: OUTSTD_LOGIC_VECTOR( 7 DOWNTO 0 )); END AUTO;

ARCHITECTURE BEHAVIORAL OF AUTO IS

SIGNAL COUTTO:INTEGER RANGE 0 TO 31;

BEGIN

PULSEO:PROCESS(CLK,AUTO)

VARIABLE COUNT:INTEGER RANGE 0 T0 8;

BEGIN

IF AUTO = '1' THEN

COUNT: = 0;CLK2 <='0';

ESLE(CLK'EVENT AND CLD = '1')THEN

COUTN:=COUTN+1;

IF COUTN = 4 THEN

CLK2<='1';

ELSIF COUNT =8 THEN

CLK2<='0';COUNT:=0;

END IF;

END IF;

END PROCESS;

集成电路设计基础_期末考试题

集成电路设计基础 2010-11年第一学期试题 一、填空题(20分) 1、目前,国内已引进了12英寸0.09um 芯片生产线,由此工艺线生产出来的集成 电路特征尺寸是0.009um (大 小),指的是右图中的W (字 母)。 2、CMOS工艺可分为p阱、n阱、双阱 三种。 在CMOS工艺中,N阱里形成的晶体管是p (PMOS,NMOS)。 3、通常情况下,在IC中各晶体管之间是由场氧来隔离的;该区域的形成用到的制造工艺是氧化工艺。 4.集成电路制造过程中,把掩膜上的图形转换成晶圆上器件结构一道工序是指光 刻,包括晶圆涂光刻胶、曝光、显影、烘干四个步骤; 其中曝光方式包括①接触式、②非接触式两种。 5、阈值电压V T是指将栅极下面的si表面从P型Si变成N型Si所必要的电压,根据阈值电压的不同,常把MOS区间分成耗尽型、增强型两种。降低V T 的措施包括:降低杂质浓度、增大Cox 两种。 二、名词解释(每词4分,共20分) ①多项目晶圆(MPW) ②摩尔定律 ③掩膜 ④光刻

⑤外延 三、说明(每题5分共10分) ①说明版图与电路图的关系。 ②说明设计规则与工艺制造的关系。 四、简答与分析题(10分) 1、数字集成电路设计划分为三个综合阶段,高级综合,逻辑综合,物理综合;解释这 三个综合阶段的任务是什么? 2、分析MOSFET尺寸能够缩小的原因。 五、综合题(共4小题,40分) 1、在版图的几何设计规则中,主要包括各层的最小宽度、层与层之间的最小间距、各 层之间的最小交叠。把下图中描述的与多晶硅层描述的有关规则进行分类: (2)属于层与层之间的最小间距的是: (3)属于各层之间的最小交叠是: 2.请提取出下图所代表的电路原理图。画出用MOSFET构成的电路。

模拟集成电路设计期末试卷

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

(完整版)集成电路工艺原理期末试题

电子科技大学成都学院二零一零至二零一一学年第二学期 集成电路工艺原理课程考试题A卷(120分钟)一张A4纸开卷教师:邓小川 一二三四五六七八九十总分评卷教师 1、名词解释:(7分) 答:Moore law:芯片上所集成的晶体管的数目,每隔18个月翻一番。 特征尺寸:集成电路中半导体器件能够加工的最小尺寸。 Fabless:IC 设计公司,只设计不生产。 SOI:绝缘体上硅。 RTA:快速热退火。 微电子:微型电子电路。 IDM:集成器件制造商。 Chipless:既不生产也不设计芯片,设计IP内核,授权给半导体公司使用。 LOCOS:局部氧化工艺。 STI:浅槽隔离工艺。 2、现在国际上批量生产IC所用的最小线宽大致是多少,是何家企业生产?请 举出三个以上在这种工艺中所采用的新技术(与亚微米工艺相比)?(7分) 答:国际上批量生产IC所用的最小线宽是Intel公司的32nm。 在这种工艺中所采用的新技术有:铜互联;Low-K材料;金属栅;High-K材料;应变硅技术。 3、集成电路制造工艺中,主要有哪两种隔离工艺?目前的主流深亚微米隔离工 艺是哪种器件隔离工艺,为什么?(7分) 答:集成电路制造工艺中,主要有局部氧化工艺-LOCOS;浅槽隔离技术-STI两种隔离工艺。 主流深亚微米隔离工艺是:STI。STI与LOCOS工艺相比,具有以下优点:更有效的器件隔离;显著减小器件表面积;超强的闩锁保护能力;对沟道无 侵蚀;与CMP兼容。 4、在集成电路制造工艺中,轻掺杂漏(LDD)注入工艺是如何减少结和沟道区间的电场,从而防止热载流子的产生?(7分) 答:如果没有LDD形成,在晶体管正常工作时会在结和沟道区之间形成高

集成电路培养方案.

西安邮电学院电子工程学院 本科集成电路设计与集成系统专业培养方案 学科:工学---电气信息专业:集成电路设计与集成系统(Engineering---Electric Information)(Integrated Circuit Design & Integrated System)专业代码:080615w 授予学位:工学学士 一、专业培养指导思想 遵循党和国家的教育方针,体现“两化融合”的时代精神,把握高等教育教学改革发展的规律与趋势,树立现代教育思想与观念,结合社会需求和学校实际,按照“打好基础、加强实践,拓宽专业、优化课程、提高能力”的原则,适应社会主义现代化建设和信息领域发展需要,德、智、体、美全面发展,具有良好的道德修养、科学文化素质、创新精神、敬业精神、社会责任感以及坚实的数理基础、外语能力和电子技术应用能力,系统地掌握专业领域的基本理论和基本知识,受到严格的科学实验训练和科学研究训练,能够在集成电路设计与集成系统领域,特别是通信专用集成电路与系统领域从事科学研究、产品开发、教学和管理等方面工作的高素质应用型人才。 二、专业培养目标 本专业学生的知识、能力、素质主要有:①较宽厚的自然科学理论基础知识、电路与系统的学科专业知识、必要的人文社会学科知识和良好的外语基础;②较强的集成电路设计和技术创新能力,具有通信、计算机、信号处理等相关学科领域的系统知识及其综合运用知识解决问题的能力;③较强的科学研究和工程实践能力,总结实践经验发现新知识的能力,掌握电子设计自动化(EDA)工具的应用;④掌握资料查询的基本方法和撰写科学论文的能力,了解本专业领域的理论前沿和发展动态;⑤良好的与人沟通和交流的能力,协同工作与组织能力;⑥良好的思想道德修养、职业素养、身心素质。毕业学生能够从事通信集成电路设计与集成系统的设计、开发、应用、教学和管理工作,成为具有奉献精神、创新意识和实践能力的高级应用型人才。 三、学制与学分 学制四年,毕业生应修最低学分198学分,其中必修课110学分,限选课36学分,任选课10学分,集中实践环节34学分,课外科技与实践活动8学分。

集成电路设计方法的发展历史

集成电路设计方法的发展历史 、发展现状、及未来主流设 计方法报告 集成电路是一种微型电子器件或部件,为杰克·基尔比发明,它采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。它不仅在工、民用电子设备如收录机、电视机、计算机等方面得到广泛的应用,同时在军事、通讯、遥控等方面也得到广泛的应用。用集成电路来装配电子设备,其装配密度比晶体管可提高几十倍至几千倍,设备的稳定工作时间也可大大提高。 一、集成电路的发展历史: 1947年:贝尔实验室肖克莱等人发明了晶体管,这是微电子技术发展中第一个里程碑; 1950年:结型晶体管诞生; 1950年: R Ohl和肖特莱发明了离子注入工艺; 1951

年:场效应晶体管发明; 1956年:C S Fuller发明了扩散工艺; 1958年:仙童公司Robert Noyce与德仪公司基尔比间隔数月分别发明了集成电路,开创了世界微电子学的历史; 1960年:H H Loor和E Castellani发明了光刻工艺;1962年:美国RCA公司研制出MOS场效应晶体管; 1963年:和首次提出CMOS技术,今天,95%以上的集成电路芯片都是基于CMOS工艺; 1964年:Intel摩尔提出摩尔定律,预测晶体管集成度将会每18个月增加1倍; 1966年:美国RCA公司研制出CMOS集成电路,并研制出第一块门阵列; 1967年:应用材料公司成立,现已成为全球最大的半导体设备制造公司; 1971年:Intel推出1kb动态随机存储器,标志着大规模集成电路出现; 1971年:全球第一个微处理器4004Intel公司推出,采用的是MOS工艺,这是一个里程碑式的发明; 1974年:RCA公司推出第一个CMOS微处理器1802; 1976年:16kb DRAM和4kb SRAM问世; 1978年:64kb动态随机存储器诞生,不足平方厘米的硅片上集成了14万个晶体管,标志着超大规模集成电路时

专用集成电路

实验一 EDA软件实验 一、实验目的: 1、掌握Xilinx ISE 9.2的VHDL输入方法、原理图文件输入和元件库的调用方法。 2、掌握Xilinx ISE 9.2软件元件的生成方法和调用方法、编译、功能仿真和时序仿真。 3、掌握Xilinx ISE 9.2原理图设计、管脚分配、综合与实现、数据流下载方法。 二、实验器材: 计算机、Quartus II软件或xilinx ISE 三、实验内容: 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电 路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 四、实验步骤: 1、三线八线译码器(LS 74138)VHDL电路设计 (1)三线八线译码器(LS74138)的VHDL源程序的输入 打开Xilinx ISE 6.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(LS74138)建立设计项目。项目名称【Project Name】为“Shiyan”,工程建立路径为“C:\Xilinx\bin\Shiyan1”,其中“顶层模块类型(Top-Level Module Type)”为硬件描述语言(HDL),如图1所示。 图1 点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。

集成电路设计方法--复习提纲

集成电路设计方法--复习提纲 2、实际约束:设计最优化约束:建立时钟,输入延时,输出延时,最大面积 设计规则约束:最大扇出,最大电容 39.静态时序分析路径的定义 静态时序分析通过检查所有可能路径上的时序冲突来验证芯片设计的时序正确性。时序路径的起点是一个时序逻辑单元的时钟端,或者是整个电路的输入端口,时序路径的终点是下一个时序逻辑单元的数据输入端,或者是整个电路的输出端口。 40.什么叫原码、反码、补码? 原码:X为正数时,原码和X一样;X为负数时,原码是在X的符号位上写“1”反码:X为正数是,反码和原码一样;X为负数时,反码为原码各位取反 补码:X为正数时,补码和原码一样;X为负数时,补码在反码的末位加“1” 41.为什么说扩展补码的符号位不影响其值? SSSS SXXX = 1111 S XXX + 1 —— 2n2n12n1例如1XXX=11XXX,即为XXX-23=XXX+23-24. 乘法器主要解决什么问题? 1.提高运算速度2.符号位的处理 43.时钟网络有哪几类?各自优缺点? 1. H树型的时钟

网络: 优点:如果时钟负载在整个芯片内部都很均衡,那么H 树型时钟网络就没有系统时钟偏斜。缺点:不同分支上的叶节点之间可能会出现较大的随机偏差、漂移和抖动。 2. 网格型的时钟网络 优点:网格中任意两个相近节点之间的电阻很小,所以时钟偏差也很小。缺点:消耗大量的金属资源,产生很大的状态转换电容,所以功耗较大。 3.混合型时钟分布网络优点:可以提供更小的时钟偏斜,同时,受负载的影响比较小。缺点:网格的规模较大,对它的建模、自动生成可能会存在一些困难。 总线的传输机制? 1. 早期:脉冲式机制和握手式机制。 脉冲式机制:master发起一个请求之后,slave在规定的t时间内返回数据。 握手式机制:master发出一个请求之后,slave在返回数据的时候伴随着一个确认信号。这样子不管外设能不能在规定的t时间内返回数据,master都能得到想要的数据。 2. 随着CPU频率的提高,总线引入了wait的概念 如果slave能在t时间内返回数据,那么这时候不能把wait信号拉高,如果slave不能在t时间内返回数据,那么必须在t时间内将wait信号拉高,直到slave将可以返回

集成电路设计练习题

集成电路设计练习题2009 1、说明一个半导体集成电路成本的组成。 2、简述CMOS工艺流程。简述CMOS集成电路制造的过程中需要重复进行的工艺步骤。 3、描述你对集成电路工艺的认识。列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?简述CMOS工艺技术的发展趋势。 4、你知道的集成电路设计的表达方式有哪几种? 5、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx 其中,x为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为3~5v 假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。 6、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识? 7、描述你对集成电路设计流程的认识。 8、集成电路前端设计流程,后端设计流程,相关的工具。 9、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool. 10、简述FPGA等可编程逻辑器件设计流程。 11、简述半定制数字电路的设计流程。 12、简要说明并比较数字集成电路几种不同的实现方法。 13、什么是集成电路的设计规则。 14、同步电路和异步电路的区别是什么? 15、画出CMOS电路的晶体管级电路图,实现Y=AB+C(D+E) 16、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N 管,为什么? 17、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求? 18、名词解释:VLSI, CMOS, EDA, VHDL, DRC, LVS, DFT, STA 19、画出CMOS与非门的电路,并画出波形图简述其功能。

集成电路设计流程

集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 正向设计与反向设计 State Key Lab of ASIC & Systems, Fudan University 自顶向下和自底向上设计 State Key Lab of ASIC & Systems, Fudan University Top-Down设计 –Top-Down流程在EDA工具支持下逐步成为 IC主要的设计方法 –从确定电路系统的性能指标开始,自系 统级、寄存器传输级、逻辑级直到物理 级逐级细化并逐级验证其功能和性能 State Key Lab of ASIC & Systems, Fudan University Top-Down设计关键技术 . 需要开发系统级模型及建立模型库,这些行 为模型与实现工艺无关,仅用于系统级和RTL 级模拟。 . 系统级功能验证技术。验证系统功能时不必 考虑电路的实现结构和实现方法,这是对付 设计复杂性日益增加的重要技术,目前系统 级DSP模拟商品化软件有Comdisco,Cossap等, 它们的通讯库、滤波器库等都是系统级模型 库成功的例子。 . 逻辑综合--是行为设计自动转换到逻辑结构 设计的重要步骤 State Key Lab of ASIC & Systems, Fudan University

专用集成电路AD的设计

A/D转换器的设计 一.实验目的: (1)设计一个简单的LDO稳压电路 (2)掌握Cadence ic平台下进行ASIC设计的步骤; (3)了解专用集成电路及其发展,掌握其设计流程; 二.A/D转换器的原理: A/D转换器是用来通过一定的电路将模拟量转变为数字量。 模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号。但在A/D转换前,输入到A/D转换器的输入信号必须经各种传感器把各种物理量转换成电压信号。符号框图如下: 数字输出量 常用的几种A/D器为; (1):逐次比较型 逐次比较型AD由一个比较器和DA转换器通过逐次比较逻辑构成,从MSB 开始,顺序地对每一位将输入电压与内置DA转换器输出进行比较,经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低,在低分辩率(<12位)时价格便宜,但高精度(>12位)时价格很高。 (2): 积分型 积分型AD工作原理是将输入电压转换成时间(脉冲宽度信号)或频率(脉冲频率),然后由定时器/计数器获得数字值。其优点是用简单电路就能获得高分辨率,但缺点是由于转换精度依赖于积分时间,因此转换速率极低。初期的单片AD转换器大多采用积分型,现在逐次比较型已逐步成为主流。 (3):并行比较型/串并行比较型

并行比较型AD采用多个比较器,仅作一次比较而实行转换,又称FLash(快速)型。由于转换速率极高,n位的转换需要2n-1个比较器,因此电路规模也极大,价格也高,只适用于视频AD转换器等速度特别高的领域。 串并行比较型AD结构上介于并行型和逐次比较型之间,最典型的是由2个n/2位的并行型AD转换器配合DA转换器组成,用两次比较实行转换,所以称为Half flash(半快速)型。还有分成三步或多步实现AD转换的叫做分级型AD,而从转换时序角度又可称为流水线型AD,现代的分级型AD中还加入了对多次转换结果作数字运算而修正特性等功能。这类AD速度比逐次比较型高,电路规模比并行型小。 一.A/D转换器的技术指标: (1)分辨率,指数字量的变化,一个最小量时模拟信号的变化量,定义为满刻度与2^n的比值。分辨率又称精度,通常以数字信号的位数来表示。 (2)转换速率,是指完成一次从模拟转换到数字的AD转换所需的时间的倒数。积分型AD的转换时间是毫秒级属低速AD,逐次比较型AD是微秒级,属中速AD,全并行/串并行型AD可达到纳秒级。采样时间则是另外一个概念,是指两次转换的间隔。为了保证转换的正确完成,采样速率必须小于或等于转换速率。因此有人习惯上将转换速率在数值上等同于采样速率也是可以接受的。常用单位ksps 和Msps,表示每秒采样千/百万次。 (3)量化误差,由于AD的有限分辩率而引起的误差,即有限分辩率AD的阶梯状转移特性曲线与无限分辩率AD(理想AD)的转移特性曲线(直线)之间的最大偏差。通常是1 个或半个最小数字量的模拟变化量,表示为1LSB、1/2LSB。(4)偏移误差,输入信号为零时输出信号不为零的值,可外接电位器调至最小。(5)满刻度误差,满度输出时对应的输入信号与理想输入信号值之差。 (6)线性度,实际转换器的转移函数与理想直线的最大偏移,不包括以上三种误差。 三、实验步骤 此次实验的A/D转换器用的为逐次比较型,原理图如下:

集成电路的设计方法探讨

集成电路的设计方法探讨 摘要:21世纪,信息化社会到来,时代的进步和发展离不开电子产品的不断进步,微电子技术对于各行各业的发展起到了极大的推进作用。集成电路(integratedcircuit,IC)是一种重要的微型电子器件,在包括数码产品、互联网、交通等领域都有广泛的应用。介绍集成电路的发展背景和研究方向,并基于此初步探讨集成电路的设计方法。 关键词集成电路设计方法 1集成电路的基本概念 集成电路是将各种微电子原件如晶体管、二极管等组装在半导体晶体或介质基片上,然后封装在一个管壳内,使之具备特定的电路功能。集成电路的组成分类:划分集成电路种类的方法有很多,目前最常规的分类方法是依据电路的种类,分成模拟集成电路、数字集成电路和混合信号集成电路。模拟信号有收音机的音频信号,模拟集成电路就是产生、放大并处理这类信号。与之相类似的,数字集成电路就是产生、放大和处理各种数字信号,例如DVD重放的音视频信号。此外,集成电路还可以按导电类型(双极型集成电路和单极型集成电路)分类;按照应用领域(标准通用集成电路和专用集成电路)分类。集成电路的功能作用:集成电路具有微型化、低能耗、寿命长等特点。主要优势在于:集成电路的体积和质量小;将各种元器件集中在一起不仅减少了外界电信号的干扰,而且提高了运行

速度和产品性能;应用方便,现在已经有各种功能的集成电路。基于这些优异的特性,集成电路已经广泛运用在智能手机、电视机、电脑等数码产品,还有军事、通讯、模拟系统等众多领域。 2集成电路的发展 集成电路的起源及发展历史:众所周知,微电子技术的开端在1947年晶体管的发明,11年后,世界上第一块集成电路在美国德州仪器公司组装完成,自此之后相关的技术(如结型晶体管、场效应管、注入工艺)不断发展,逐渐形成集成电路产业。美国在这一领域一直处于世界领先地位,代表公司有英特尔公司、仙童公司、德州仪器等大家耳熟能详的企业。集成电路的发展进程:我国集成电路产业诞生于六十年代,当时主要是以计算机和军工配套为目标,发展国防力量。在上世纪90年代,我国就开始大力发展集成电路产业,但由于起步晚、国外的技术垄断以及相关配套产业也比较落后,“中国芯”始终未能达到世界先进水平。现阶段我国工业生产所需的集成电路主要还是依靠进口,从2015年起我国集成电路进口额已经连续三年比原油还多,2017年的集成电路进口额超过7200亿元。因此,在2018年政府工作报告中把推动集成电路产业发展放在了五大突出产业中的首位,并且按照国家十三五规划,我国集成电路产业产值到2020年将会达到一万亿元。中国比较大型的集成电路设计制造公司有台积电、海思、中兴等,目前已在一些技术领域取得了不错的成就。集成电路的发展方向:提到集成电路的发展,就必须要说到摩尔定律:集成度每18个月翻一番。而现今正处在

《集成电路设计原理》试卷及答案课件

电科《集成电路原理》期末考试试卷 一、填空题 1.(1分) 年,第一次观测到了具有放大作用的晶体管。 2 . ( 2 分 ) 摩 尔 定 律 是 指 。 3. 集 成 电 路 按 工 作 原 理 来 分 可 分 为 、 、 。 4.(4分)光刻的工艺过程有底膜处理、涂胶、前烘、 、 、 、 和去胶。 5.(4分)MOSFET 可以分为 增强型NMOS ,耗尽型NMOS ,增强型PMOS ,耗尽型PMOS___四种基本类型。 6.(3分)影响MOSFET 阈值电压的因素有: 、 以及 。 7.(2分)在CMOS 反相器中,V in ,V out 分别作为PMOS 和NMOS 的 栅极, 和 漏极 ; VDD , 作为PMOS 的源极和体端, ,GND 作为NMOS 的源极和体端。 8.(2分)CMOS 逻辑电路的功耗可以分为 和 。 9.(3分)下图的传输门阵列中5DD V V =,各管的阈值电压1T V V =,电路中各节点的初始电压为0,如果不考虑衬偏效应,则各输出节点的输出电压Y 1= 4 V ,Y 2= 3 V ,Y 3= 3 V 。 DD 1 3 2 10.(6分)写出下列电路输出信号的逻辑表达式:Y 1= ;Y 2= ;Y 3= 。 A B Y 1 A B 2 3 二、画图题:(共12分)

1.(6分)画出由静态CMOS电路实现逻辑关系Y ABD CD =+的电路图,要求使用的MOS管最少。 2.(6分)用动态电路级联实现逻辑功能Y ABC =,画出其相应的电路图。 三、简答题:(每小题5分,共20分) 1.简单说明n阱CMOS的制作工艺流程,n阱的作用是什么? 2.场区氧化的作用是什么,采用LOCOS工艺有什么缺点,更好的隔离方法是什么? 3.简述静态CMOS电路的优点。

集成电路设计方案习题答案章

集成电路设计方案习题答案章

CH1 1.按规模划分,集成电路的发展已经经历了哪几代?它的发展遵循了一条业界著名的定律,请说出是什么定律? 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE 定律 2.什么是无生产线集成电路设计?列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。环境:IC产业生产能力剩余,人们需要更多的功能芯片设计 3.多工程晶圆

的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点? SOI绝缘体上硅,能够经过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点? 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子能够容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 CH31.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29 3.写出光刻的作用,光刻有哪两种曝光方式?作用:把掩膜上的图形转换成晶圆上的器件结构。曝光方式有接触与非接触两种。 4.X射线制版和直接电子束直写技术替代光刻技术有什么优缺点? X 射线

专用集成电路设计

专用集成电路课程设计 简易电子琴 通信工程学院 011051班 侯珂

01105023 目录 1 引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (2) 2 EDA、VHDL简介 (2) 2.1EDA技术 (2) 2.2硬件描述语言——VHDL (3) 2.2.1 VHDL的简介 (3) 2.2.2 VHDL语言的特点 (3) 2.2.3 VHDL的设计流程 (4) 3 简易电子琴设计过程 (5) 3.1简易电子琴的工作原理 (5) 3.2简易电子琴的工作流程图 (5) 3.3简易电子琴中各模块的设计 (6) 3.3.1 乐曲自动演奏模块 (7) 3.3.2 音调发生模块 (8) 3.3.3 数控分频模块 (9)

3.3.4 顶层设计 (10) 4 系统仿真 (12) 5 结束语 (14) 收获和体会.................................................................................................. 错误!未定义书签。参考文献 .. (15) 附录 (16)

1 引言 我们生活在一个信息时代,各种电子产品层出不穷,作为一个计算机专业的学生,了解这些电子产品的基本组成和设计原理是十分必要的,我们学习的是计算机组成的理论知识,而课程设计正是对我们学习的理论的实践与巩固。本设计主要介绍的是一个用超高速硬件描述语言VHDL设计的一个具有若干功能的简易电子琴,其理论基础来源于计算机组成原理的时钟分频器。 摘要本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值。 关键字电子琴、EDA、VHDL、音调发生 1.1 设计的目的 本次设计的目的就是在掌握计算机组成原理理论的基础上,了解EDA技术,掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,例如本课程设计就是基于所学的计算机原理中的时钟分频器和定时器的基础之上的,通过本课程设计,达到巩固和综合运用计算机原理中的知识,理论联系实际,巩固所学理论知识,并且提高自己通过所学理论分析、解决计算机实际问题的能力。

硅工艺-《集成电路制造技术》课程-试题

晶圆制备 1.用来做芯片的高纯硅被称为(半导体级硅),英文简称(GSG ),有时也被称为(电子级硅)。 2.单晶硅生长常用(CZ法)和(区熔法)两种生长方式,生长后的单晶硅被称为(硅锭)。 3.晶圆的英文是(wafer ),其常用的材料是(硅)和(锗)。 4.晶圆制备的九个工艺步骤分别是整型、定向、标识。 5.从半导体制造来讲,晶圆中用的最广的晶体平面的密勒符号是(100 )、(110 )和(111)。 6.CZ直拉法生长单晶硅是把(融化了的半导体级硅液体)变为(有确定晶向的)并且(被掺杂成p型或n型)的固体硅锭。 7.CZ直拉法的目的是(实现均匀掺杂的同时,并且复制仔晶的结构,得到合适的硅锭直径)。影响CZ直拉法的两个主要参数是(拉伸速率)和(晶体旋转速率)。 8.晶圆制备中的整型处理包括(去掉两端)、(径向研磨)和(硅片定位边和定位槽)。 9.制备半导体级硅的过程:1(制备工业硅);2(生长硅单晶);3(提纯)。 10.晶片需要经过切片、磨片、抛光后,得到所需晶圆。 氧化 10.二氧化硅按结构可分为()和()或()。 11.热氧化工艺的基本设备有三种:(卧式炉)、(立式炉)和(快速热处理炉)。 12.根据氧化剂的不同,热氧化可分为(干氧氧化)、(湿氧氧化)和(水汽氧化)。 13.用于热氧化工艺的立式炉的主要控制系统分为五部分:(工艺腔)、(硅片传输系统)、气体分配系统、尾气系统和(温控系统)。 14.选择性氧化常见的有(局部氧化)和(浅槽隔离),其英语缩略语分别为LOCOS和(STI )。 15.列出热氧化物在硅片制造的4种用途:(掺杂阻挡)、(表面钝化)、场氧化层和(金属层间介质)。 16.可在高温设备中进行的五种工艺分别是(氧化)、(扩散)、(蒸发)、退火和合金。 17.硅片上的氧化物主要通过(热生长)和(淀积)的方法产生,由于硅片表面非常平整,使得产生的氧化物主要为层状结构,所以又称为(薄膜)。 18.卧式炉的工艺腔或炉管是对硅片加热的场所,它由平卧的(石英工艺腔)、(加热器)和(石英舟)组成。淀积 19.目前常用的CVD系统有:(APCVD )、(LPCVD )和(PECVD )。 20.淀积膜的过程有三个不同的阶段。第一步是(晶核形成),第二步是(聚焦成束),第三步是(汇聚成膜)。21.缩略语PECVD、LPCVD、HDPCVD和APCVD的中文名称分别是(等离子体增强化学气相淀积)、(低压化学气相淀积)、高密度等离子体化学气相淀积、和(常压化学气相淀积)。 22.在外延工艺中,如果膜和衬底材料(相同),例如硅衬底上长硅膜,这样的膜生长称为(同质外延);反之,膜和衬底材料不一致的情况,例如硅衬底上长氧化铝,则称为(异质外延)。 23.化学气相淀积是通过()的化学反应在硅片表面淀积一层()的工艺。硅片表面及其邻近的区域被()来向反应系统提供附加的能量。 金属化 24.金属按其在集成电路工艺中所起的作用,可划分为三大类:()、()和()。 25.气体直流辉光放电分为四个区,分别是:无光放电区、汤生放电区、辉光放电区和电弧放电区。其中辉光放电区包括前期辉光放电区、()和(),则溅射区域选择在()。 26.集成电路工艺中利用溅射现象主要用来(),还可以用来()。 27.对芯片互连的金属和金属合金来说,它所必备一些要求是:(导电率)、高黏附性、(淀积)、(平坦化)、可靠性、抗腐蚀性、应力等。 28.在半导体制造业中,最早的互连金属是(铝),在硅片制造业中最普通的互连金属是(铜),。 29.写出三种半导体制造业的金属和合金(Al )、(Cu )和(铝铜合金)。 30.阻挡层金属是一类具有(高熔点)的难熔金属,金属铝和铜的阻挡层金属分别是(W )和(W )。 31.被用于传统和双大马士革金属化的不同金属淀积系统是:()、()、()和铜电镀。 32.溅射主要是一个()过程,而非化学过程。在溅射过程中,()撞击具有高纯度的靶材料固体平板,按物理过程撞击出原子。这些被撞击出的原子穿过(),最后淀积在硅片上。 平坦化 33.缩略语PSG、BPSG的中文名称分别是()、()。 34.列举硅片制造中用到CMP的几个例子:()、LI氧化硅抛光、()、()、钨塞抛光和双大马士革铜抛光。 35.终点检测是指(CMP设备)的一种检测到平坦化工艺把材料磨到一个正确厚度的能力。两种最常用的原位终点检测技术是(电机电流终点检测)和(光学终点检测)。 36.硅片平坦化的四种类型分别是(平滑)、部分平坦化、(局部平坦化)和(全局平坦化)。 37.传统的平坦化技术有()、()和()。

集成电路设计与集成系统专业完全解析

集成电路设计与集成系统专业 (本科、学制四年) Integrated Circuit Design & Integrated System 一、专业简介 集成电路设计和应用是多学科交叉高技术密集的学科,是现代电子信息科技的核心技术,是国家综合实力的重要标志。“集成电路设计和集成系统”是国家教育部2003年最新设立的本科专业之一。目前国内外对集成电路设计人才需求旺盛。本专业主要以培养高层次、应用型、复合型的芯片设计工程人才为目标,为计算机、通信、家电和其它电子信息领域培养既具有系统知识又具有集成电路设计基本知识,同时具有现代集成电路设计理念的新型研究人才和工程技术人员。 二、培养目标和培养范围 培养目标:本专业以集成电路设计能力为目标,培养掌握微电子和集成电路基本理论、现代集成电路设计专业基础知识和基本技能,掌握集成电路设计的EDA工具,熟悉电路、计算机、信号处理、通信等相关系统知识,能够满足集成电路设计领域及相关行业工作需求,从事集成电路设计和集成系统的研究、开发和应用。具有一定创新能力的适应现代化建设和当前急需的高级技术人才。 培养范围:本专业学生将具有以下方面的知识与能力: 1、扎实的数理基础和外语能力; 2、充实的社会科学知识,在文、史、哲、法、社会和政经等领域有一定的修养; 3、模拟、数字电路基本原理与设计的硬件应用能力; 4、信息系统的基本理论、原理与设计应用能力; 5、计算机和网络的基本原理及软硬件应用能力; 6、微电子及半导体器件基本理论知识; 7、集成电路基本理论与原理以及集成电路设计与制造基本知识; 8、集成电路设计、制造和EDA技术的基本知识与应用能力。 三、就业方向 集成电路以及电子整机设计及制造等领域从事科研、教学、科技开发、生产管理和行政管理等工作;继续深造攻

集成电路设计方法与设计流程

集成电路设计方法与设计流程 集成电路设计概述 集成电路设计描述 集成电路设计策略 基于硬件描述语言的集成电路设计方法 集成电路设计流程及EDA工具

1、正向设计与反向设计按功能和实现的先后顺序分

1、正向设计与反向设计 反向设计方法的应用领域越来越小 ?功能的多样化和专门化 ?集成度越来越高,十亿晶体管;保密措施 ?光学显微镜受限:日本奥林巴斯:0.35um;德国徕卡:0.18um;日本尼康:0.25um;德国蔡 司:0.13um,+UV共轭紫外线(14万$) ?反应离子蚀刻(RIE)机受限: Al互连,Cu互连 正向设计方法得到了越来越广泛的研究和应用?关键技术是综合技术,主要依赖于包括高层次综合、逻辑综合、版图综合在内的各个层次的综合方法和工具的发展,而高层次综合是首要环节.

2、自顶向下和自底向上设计 从整体和局部的先后顺序上分

Top-Down设计 Top-Down流程在EDA工具支持下逐步成为IC 主要的设计方法 ?从确定电路系统的设计指标开始 ?将系统划分为各个功能模块,每个模块 由更细化的行为描述表达 ?自系统级、寄存器传输级、逻辑级直到 物理级逐级细化并逐级验证其功能和性 能

Top-Down设计关键技术 系统级功能验证技术 不必考虑电路的实现结构和实现方法,这是对付设计复杂性日益增加的重要技术 需要开发系统级模型及建立模型库 这些模型与实现工艺无关,仅用于系统级和RTL 级模拟 Cadence的SPW:行为算法级设计工具 Synopsys COSSAP:DSP & communication design environment,其中的通讯库、滤波器库等 都是系统级模型库成功的例子 目前存在的可能: 缺少可综合的系统级库资源 通过行为级综合工具把功能级描述转换成RTL级描述,速度最快可达到传统人工方式的20倍,但 工具尚未实用化

复旦微电子《电子线路与集成电路设计》专业课程考试大纲

复旦大学2007年入学研究生 《电子线路与集成电路设计》专业课程考试大纲 本复习大纲是为了便于考生对《电子线路与集成电路设计》课程进行复习而制定。大纲提供了一些参考书目录,考生可以根据自己的实际情况选择合适的参考书。 第一部分模拟电路 考试题型:问答题,分析计算题。 参考书:①童诗白等,模拟电子技术基础(第三版),高等教育出版社,2001年 ②谢嘉奎等,电子线路线性部分(第四版),高等教育出版社,1999年 ③蓝鸿翔,电子线路基础,人民教育出版社,1981年 总分:50分 一、电路分析(③的第一章或其他电路分析教材) 基本电路定律与定理: 掌握基尔霍夫电压与电流定律;等效电压源定律;等效电流源定律;叠加原理。 能够运用节点电压法求解线性电路网络。 线性电路的一般分析方法: 能够写出线性电路网络的传递函数。 了解稳态分析和瞬态分析的基本概念。 掌握线性网络幅频特性、相频特性的基本概念。 能够利用波特(Bode)图进行频率特性分析。 二、半导体器件(①或②) 了解PN结的结构与原理,掌握PN结的伏安特性。 掌握半导体二极管的特性曲线和特性参数及其基本应用:整流、限幅、钳位。 双极型晶体管: 了解双极型晶体管的结构和放大原理; 掌握双极型晶体管的伏安特性;晶体管的基本模型,掌握双极型晶体管的交流小信 号等效电路,并能计算其中的各个参数。 场效应晶体管: 掌握场效应晶体管的结构和工作原理,分清6种类型场效应管的区别; 掌握场效应晶体管的交流小信号等效电路,并能计算其中的各个参数。 三、基本放大电路(①或②) 放大电路的性能指标:

增益(放大倍数)、输入阻抗、输出阻抗,掌握它们的概念与计算方法。 晶体管共射放大电路: 分清直流通路与交流通路; 用近似估算法确定放大电路的直流工作点; 用小信号等效电路方法估算放大电路的性能指标:增益、输入阻抗、输出阻抗; 用图解法确定输出动态范围以及输出波形失真情况。 晶体管共基和共集放大电路: 了解上述两种电路的工作原理和电路特点; 能够简单估算上述两种放大电路的性能指标:增益、输入阻抗、输出阻抗; 熟悉三种接法的放大电路性能指标的异同,能够在不同场合正确选择合适的电路; 了解三种接法的放大电路在频率特性方面的异同。 场效应管共源放大电路: 能够根据场效应晶体管的伏安特性确定放大电路的直流工作点; 用小信号等效电路方法估算放大电路的性能指标。 差分放大电路: 熟悉差分放大电路的工作原理和电路特点; 掌握差分放大电路的性能指标估算方法。 互补输出电路: 熟悉互补输出电路的工作原理和电路特点; 了解互补输出电路中产生交越失真的原因以及消除方法。 多级放大电路: 掌握多级放大电路的增益、输入阻抗、输出阻抗的估算方法。 四、放大电路中的负反馈(①或②) 反馈的基本概念: 正确理解开环与闭环、正反馈与负反馈、直流反馈与交流反馈、电压反馈与电流反 馈、串联反馈与并联反馈等概念; 能够正确运用瞬时极性法判断反馈的极性。 负反馈放大电路的组态: 正确判断四种不同的负反馈组态; 掌握四种不同负反馈组态的电路特点以及对电路性能产生的各种影响的异同; 能够根据需要在电路中引入合适的反馈形式。 深度负反馈放大电路的分析: 掌握深度负反馈放大电路的计算方法。 负反馈放大电路的自激振荡及消除方法: 了解负反馈放大电路自激振荡产生的原因,了解消除振荡的方法。 五、集成运算放大器及其应用基础(①或②) 熟悉集成运算放大器的性能参数: 差模增益、共模增益、共模抑制比、输入失调、单位增益带宽、转换速率等。 基于集成运放构成的线性电路的基本分析方法:

集成电路基础工艺和版图设计测试试卷

集成电路基础工艺和版图设计测试试卷 (考试时间:60分钟,总分100分) 第一部分、填空题(共30分。每空2分) 1、NMOS是利用电子来传输电信号的金属半导体;PMOS是利用空穴来传输电信号的金属半导体。 2、集成电路即“IC”,俗称芯片,按功能不同可分为数字集成电路和模拟集成电路,按导电类型不同可分为 双极型集成电路和单极型集成电路,前者频率特性好,但功耗较大,而且制作工艺复杂,不利于大规模集成;后者工作速度低,但是输入阻抗高、功耗小、制作工艺简单、易于大规模集成。 3、金属(metal)—氧化物(oxid)—半导体(semiconductor)场效应晶体管即MOS管,是一个四端有源器件,其四端分别是栅 极、源极、漏极、背栅。 4、集成电路设计分为全定制设计方法和半定制设计方法,其中全定制设计方法又分为基于门阵列和标准单元 的设计方法,芯片利用率最低的是基于门阵列的设计方法。 第二部分、不定项选择题(共45分。每题3分,多选,错选不得分,少选得1分) 1、在CMOS集成电路中,以下属于常用电容类型的有(ABCD) A、MOS电容 B、双层多晶硅电容 C、金属多晶硅电容 D、金属—金属电容 2、在CMOS集成电路中,以下属于常用电阻类型的有(ABCD) A、源漏扩散电阻 B、阱扩散电阻 C、沟道电阻 D、多晶硅电阻 3、以下属于无源器件的是(CD ) A、MOS晶体管 B、BJT晶体管 C、POL Y电阻 D、MIM电容 4、与芯片成本相关的是(ABC) A、晶圆上功能完好的芯片数 B、晶圆成本 C、芯片的成品率 D、以上都不是 5、通孔的作用是(AB ) A、连接相邻的不同金属层 B、使跳线成为可能 C、连接第一层金属和有源区 D、连接第一层金属和衬底 6、IC版图的可靠性设计主要体现在(ABC)等方面,避免器件出现毁灭性失效而影响良率。 A、天线效应 B、闩锁(Latch up) C、ESD(静电泄放)保护 D、工艺角(process corner)分析 7、减小晶体管尺寸可以有效提高数字集成电路的性能,其原因是(AB) A、寄生电容减小,增加开关速度 B、门延时和功耗乘积减小 C、高阶物理效应减少 D、门翻转电流减小 8、一般在版图设计中可能要对电源线等非常宽的金属线进行宽金属开槽,主要是抑制热效应对芯片的损害。下面哪些做法符合宽金属开槽的基本规则?(ABCD) A、开槽的拐角处呈45度角,减轻大电流密度导致的压力 B、把很宽的金属线分成几个宽度小于规则最小宽度的金属线 C、开槽的放置应该总是与电流的方向一致 D、在拐角、T型结构和电源PAD区域开槽之前要分析电流流向 9、以下版图的图层中与工艺制造中出现的外延层可能直接相接触的是(AB)。 A、AA(active area) B、NW(N-Well) C、POLY D、METAL1

相关主题