搜档网
当前位置:搜档网 › 数电课程设计-30秒倒计时器

数电课程设计-30秒倒计时器

数电课程设计-30秒倒计时器
数电课程设计-30秒倒计时器

基于单片机的倒计时器(计数器)课程设计)

湖南文理学院课程设计报告 课程名称:单片机原理课程设计 学院:电信学院 专业班级:自动化07101 学生姓名: 指导老师: 完成时间: 报告成绩:

倒计时器设计

目录 目录 (1) 摘要 (3) ABSTRACT (4) 第一章设计要求与方案确定 (5) 1.1设计意义 (5) 1.2设计要求 (5) 1.3方案确定 (5) 第二章硬件电路 (6) 2.1单片机概述 (6) 2.1.1 单片机基础 (6) 2.1.2单片机与单片机系统 (7) 2.1.3 单片机的产生与发展 (7) 2.2MCS-51系列单片机介绍 (8) 2.2.1 80C51 芯片介绍 (8) 2.2.3 最小系统 (9) 2.2.4 定时与中断的概念 (10) 2.4LED显示电路设计与器件选择 (12) 2.4.1.LED显示器的选择 (13) 2.4.2LED驱动芯片选择 (13) 2.5按键电路设计 (13) 2.6蜂鸣器电路的设计 (14) 第三章倒计时器的设计 (15) 3.1倒计时器系统设计方案及框图 (15) 3.2程序设计 (15) 3.2.1主程序设计 (15) 3.2.2倒计时模块设计 (17) 3.2.3键盘扫描数码管显示程序 (17)

第四章倒计时器设计仿真 (18) 4.1设置倒计时初值 (18) 4.2开始倒计时 (18) 4.3倒计时结束并报警 (18) 总结 (20) 参考文献 (21) 致谢 (22) 附录1 倒计时器设计源程序 (23) 附录2 所用元器件清单 (23)

摘要 近年来随着计算机在社会领域的渗透,单片机的应用正在不断的走向深入,同时带动传统控制检测日新月异更新。在实时控制和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。 本系统由单片机系统、矩阵式键盘、蜂鸣器和LED数码管显示系统组成。装置利用AT89C51单片机与74LS245驱动器驱动LED数码管显示。通过按键控制设定倒计时时间,再通过中断控制系统开始倒计时。当倒计时时间到时,由P1.0口驱动蜂鸣器发声报警。为了简化电路,降低成本,采用以软件为主的的接口方法。 该系统实用、功能灵活多样,可以对计时时间进行实时控制,可以广泛的应用于各种场所的控制设备。 【关键词】单片机;LED数码管显示器;倒计时;报警

数字电路课程设计数字时钟

数字电路课程设计 数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。经过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。

(2)系统框图。 系统方框图1 (3)系统组成。 1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相

连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。 5.显示模块:由7段数码管来起到显示作用,经过接受CD4511的信号。本次选用的是共阴型的CD4511。 二、各部分电路原理。 三、秒发生器:555电路内部(图2-1)由运放和RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vcc>u11时运放输出为1,同理C2也一样。最终如图3接口就输出矩形波,而形成的秒脉冲。 图2-1 内部结构图

倒计时秒表课程设计

倒计时秒表课程设计

目录 一.设计目的 (1) 二.设计要求 (1) 三.总体设计 (1) 设计方案 (1) 硬件电路设计 (1) 1)C P U部分 (1) 2)晶振电路部分 (2) 3)L C D显示 (3) 4)键盘及蜂鸣器部分 (3) 软件程序设计 (4) 四.方案实施 (6) 单片机简介 (6) 4.2动态L C D液晶显示器显示 (6) 4.3 软件调试及调试方法 (8) 五.课程设计总结 (10) 六.参考文献 (10) 七.附件 (11) 源程序 (12) 总体电路图 (22)

一.设计目的 1熟悉整个项目的流程即单片机系统设计过程 2 学会使用各种仿真软件 3熟练的使用汇编语言编写小的应用程序 4 掌握系统的调试与安装 5提高学生的自学能力和动手能力 二.设计要求 1)可以实现正常秒表的所有功能,包括启动,暂停,复位等 2)可以自由设定倒计时时间(10s,20s,30s....),并进行倒计时(10s,20s,30s....) 3)显示方式自选 4)任选一款51单片机 5)扩展功能:在秒表基础上增加时钟功能;倒计时完成时加入报警单元,如声音,灯光等 三.总体设计 设计方案 1)方案讨论和设计:倒计时数字秒表的设计主要考虑以下几个问题:一,LCD液晶显示器如何显示数字0—9;二,如何用单片机来控制LCD的显示;三,单片机最小模式下的设计。处理好这些问题此设计才能完整,为此必须先了解LCD的显示原理和接线方法,再了解单片机的组成原理和控制方法。硬件电路的绘制和软件程序的编写是此次设计的关键和基础,只有硬件电路的设计是正确的、合理的,软件设计才可以根据硬件电路编程,以下的设计才能够进行。 2)主要任务:软件的调试和烧录 硬件电路设计 1)CPU部分 口是“调模式”num 10,num20,num30,num50,num100 口是“开始”倒计时端口 口是“关闭”(返回)轰鸣器口,在定时可以返回到模式状态。 口是给轰鸣器送触发信号口 口是“暂停”口

数电课设篮球30秒倒计时器

目录 一论证 (2) 1.1 设计原理 1.2 设计方案 1.3 各分电路功能分析 1.3.1 计数器电路 1.3.2 脉冲发生电路 1.3.3 译码显示电路 1.3.4 控制电路 1.4 仿真原理图 电子技术课程设计任务书 题目:具有数字显示的篮球竞赛30S计时器 1.任务要求 设计一个篮球竞赛30S进攻时间限制计时器。 2.性能指标要求: (1)工作时间可在1—30S内任意设定。 (2)30S计时器是递减计时器,间隔为1S。能用LED数码管显示剩余工作时间,还能显示到1/10秒。即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。 (3)减1计时到7S时,发出三声低音预告信号。

(4) 减1计时到零时(工作时间到),发出三声高声,并自动停止计时。 (5) 具有暂停/继续工作、清零复位和启动计时功能。 3.设计与测试 按任务要求,设计电路,计算参数,选择元器件。根据所设计电路原理图,选择所需元器件连接电路,并按照调试步骤进行调试。 4. 成果要求 (1)课程设计说明书一本。 要求:内容完整,图表完备,字迹工整,条理清晰,分析有据。 (2)所用元器件清单 (3)电路实体和仿真。 要求:电路必须有仿真结果(可利用Multisim进行电路仿真分析),该电路实体必须是自己安装调试通过并达到性能指标要求的电路实体。 参考器件:两片74LS192、74LS00,叁片74LS48,一片555、74LS04、CC40161,共阴LED显示器3块,电阻、蜂鸣器、发光二极管等 5.答辩 在规定时间内,完成叙述并回答问题。 前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做时间提醒设备等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。有需要就会有市场,因此

倒计时器课程设计(带程序版)

湖南科技大学 课程设计报告课程名称:单片机原理及应用课程设计 设计题目:电阻测量 院系:通信与控制工程系 专业:通信工程 班级: 学生姓名: 学号: 08409218 08409224 起止日期:2010年12月20日~ 2010年12月31日指导教师: 教研室主任:

指导教师评语: 指导教师签名:年月日 成绩 评 定 项目 权 重 成绩 1、设计过程中出勤、学习态度等方面0.2 2、课程设计质量与答辩0.5 3、设计报告书写及图纸规范程度0.3 总成绩 教研室审核意见: 教研室主任签字:年月日 教学系审核意见: 主任签字:年月日

基于STC89C52的倒计时器设计 1 设计任务与要求 本项目是由单片机执行设定的程序,在数码管显示倒计时的时间,且能由单片机接受矩阵键盘设定的时间。由4位数码管做显示,能够实现5种倒计时模式,通过控制按键进行选择9999s-0s、999s-0s、99s-0s、9s-0s、开始值由人工输入-0s。 2 方案设计与论证 近年来随着计算机在社会领域的渗透,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。现在,在许多领域中,定时器得到了广泛的应用,比如在体育比赛中的计时器;安全措施中的定时报警器;游戏中的倒计时;维持秩序的交通信号灯;红路灯,交通控制器,闹钟等等。可见倒计时器在社会中的重要性。当然,设计倒计时器的方法很多,以下是两个设计方案。 方案一:基于AT89S52单片机的LCD液晶显示模块1602显示的倒计时器。主要是以单片机来控制,用按键来设定倒计时初始时刻的值,用按键来进行倒计时初值的选择,LCD1602液晶作为显示模块来显示倒计时间。 方案二:基于AT89S52单片机的数码管显示模块显示的倒计时器。主要是以单片机来控制,用按键来设定倒计时初始时刻的值,按键来进行倒计时初值的选择。此电路对于倒计时器中的LED数码管示器来说,采用以软件为主的接口方法,即不使用专门的硬件译码器,而采用软件程序进行译码。 方案比较:通过以上两个方案,我们发现,方案二总体比方案一好。首先方案一虽然硬件电路简单,但造价较高,且在编写程序实现所要求的功能时较难,而方案二所用的显示模块是比较熟悉的数码管,编写程序是相对容易,且电路造价不高,因此,综合考虑之后决定采用方案二。

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

课程设计30秒倒计时1

目录 前言 (1) 一论证 (2) 1.1 设计原理 1.2 设计方案 1.3 各分电路功能分析 1.3.1 计数器电路 1.3.2 脉冲发生电路 1.3.3 译码显示电路 1.3.4 控制电路 1.4 仿真原理图 二课程设计总结...... 三参考文献...... 附录

电子技术课程设计任务书 题目:具有数字显示的篮球竞赛30S计时器 1.任务要求 设计一个篮球竞赛30S进攻时间限制计时器。 2.性能指标要求: (1)工作时间可在1—30S内任意设定。 (2)30S计时器是递减计时器,间隔为1S。能用LED数码管显示剩余工作时间,还能显示到1/10秒。即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。 (3)减1计时到7S时,发出三声低音预告信号。 (4) 减1计时到零时(工作时间到),发出三声高声,并自动停止计时。 (5) 具有暂停/继续工作、清零复位和启动计时功能。 3.设计与测试 按任务要求,设计电路,计算参数,选择元器件。根据所设计电路原理图,选择所需元器件连接电路,并按照调试步骤进行调试。 4. 成果要求 (1)课程设计说明书一本。 要求:内容完整,图表完备,字迹工整,条理清晰,分析有据。 (2)所用元器件清单 (3)电路实体和仿真。 要求:电路必须有仿真结果(可利用Multisim进行电路仿真分析),该电路实体必须是自己安装调试通过并达到性能指标要求的电路实体。 参考器件:两片74LS192、一片74LS00,两片74LS48,一片555、一片74LS04、CC40161,共阴LED显示器2块,电阻、蜂鸣器、发光二极管等

99倒计时课程设计讲解 实用

1苏—________________________________________________________________________ 1 2整体设计方案 (2) 3各单元的介绍 (3) 3.1最小应用系统 (3) 3.1.1 AT89C51 的介绍 (3) 3.1.2时钟电路的介绍 (5) 3.1.3复位电路 (7) 3.2锁存器74LS273的介绍 (8) 3.3数码管显示介绍 (9) 4 99倒计时主电路图 (10) 5程序流程图 (12) 6 99倒计时软件程序设计 (13) 7总拮 (15) 8说辞 (16)

9参考文献 (17)

1引言 目前单片机的应用越来越广泛,实际上,单片机得几乎在人类生活的各个领域都表现出强大的什么生命力,使计算机的应用范围达到了前所未有的广度和深度。单片机的出现尤其对电路工作者产生了观念上的冲击。在过去经常采用模拟电路、数字电路诗实现的电路系统,现在相当大一部分可以用单片机予以实现,传统的电路设计方法已演变成软件和硬件相结合的设计方,而且许多电路设计问题将转化为纯粹的程序设计问题。 INTEL公司从其生产单片机开始发展到现在,大体上可分为3大系列:MCST8 系列、M C S~51系列和M C S_96系列。M C S~51系列是8为高档单片机系列,也是我国目前应用最为广泛的一种单片机系列。单片机是把CPU、内存储器和某些W接口 电路集成在一块大规模芯片上的微型计算机。单片机的优点很多,具有体积小,成本低,抗干扰能力强,面向控制,可以实现分机各分布控制等。在进行99倒计时的课程设计中就是利用单片机的上述优点,采用的是AT89C51型号的单片机。 99秒倒计时器主要是用在精确时间上。它是通过一个按键来控制它的开和停,在控制过程中有一个暂停开关和一个复位按钮,它能及时有效的记录瞬间时间,它在我们的生活中的应用很广泛。

数电课程设计 数字电子钟

数字电子技术课程设计 数字电子钟 指导老师: 小组成员:

目录 摘要 (3) 第一节系统概述 (4) 第二节单元电路设计与分析 (6) 第三节电路的总体设计与调试 (11) 第四节设计总结 (13) 附录部分芯片功能参数表 (14) 参考文献 (17)

摘要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 关键词振荡器、计数器、译码显示器、Multisim

第一节系统概述 数字电子钟是由多块数字集成电路构成的,其中有振荡器,分频器,校时电路,计数器,译码器和显示器六部分组成。振荡器和分频器组成标准秒信号发生器,不同进制的计数器产生计数,译码器和显示器进行显示,通过校时电路实现对时,分的校准。 1.1实验目的 1).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; 2).进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3).提高电路布局﹑布线及检查和排除故障的能力; 4).培养书写综合实验报告的能力。 1.2 主要内容 熟悉Multisim10.0仿真软件的应用;设计一个具有显示、校时、整点报时和定时功能的数字时钟,.能独立完成整个系统的设计;用Multisim10.0仿真实现数字时钟的功能。 1.3 系统设计思路与总体方案 数字时钟基本原理的逻辑框图如下所示:

单片机倒计时秒表课程设计

单片机倒计时秒表 课程设计

课程设计说明书 课程名称:单片机技术 设计题目:倒计时数字秒表设计院系: 学生姓名:张三 学号: ********* 专业班级: *********** 指导教师:李四 年月日

课程设计任务书 倒计时秒表

摘要:本次课程设计以AT89S52单片机为核心设计一个倒计时数字秒表,计数初值为59并开始每秒自动减1,当按键1按下时记录当前时间值,当按键2按下时显示当前记录值,显示过之后再次按下按键1时秒表复位为59。本设计硬件部分包括电源电路、复位电路、按键电路、振荡电路、数码管显示电路五部分电路,软件程序部分有定时中断程序、外部中断程序、显示子程序和延时子程序等。软件Proteus画出原理图并进行仿真,依照仿真成功的原理图接线,在万能版上把个个器件焊接好从而实现预期的功能。 关键词:倒计时 AT89S52 74LS47 数码管 目录

1.设计背景 (5) 1.1、设计课题的提出 (5) 1.2、设计作用及意义 (5) 2.设计方案 (6) 2.1、可行方案选择 (6) 2.2、可行方案比较 (6) 3.方案实施 (7) 3.1、硬件电路的实施 (7) 3.2、软件程序的实施 (11) 4.结果与结论 (13) 4.1、Proteus仿真运行结果 (13) 4.2、结论 (14) 5.收获与致谢 (14) 6.参考文献 (14) 7.附件 (15) 7.1、附件一(整体电路图) (15) 7.1、附件二(元件清单图) (16) 7.1、附件三(程序) (17) 7.1、附件四(运行实物图) (22) 1. 设计背景

数电课程设计数字电子时钟样本

数字逻辑课程设计说明书 题目: 多功能数字钟 专业: 计算机科学与技术 班级: 姓名: 学号: 完成日期: -9 一、设计题目与要求

设计题目: 多功能数字钟 设计要求: 1.准确计时, 以数字形式显示时、分、秒的时间。 2.小时的计时能够为”12翻1”或”23翻0”的形式。 3.能够进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率?( 1HZ) 进行计数的计数电路。由于计数的起始时间不可能与标准时间( 如北京时间) 一致, 故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1 数字电子时钟方案框图

⑴多谐振荡器电路 多谐振荡器电路给数字钟提供一个频率1Hz 的信号, 可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求, 时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态, 而且为保证数码管正常工作提供足够的工作电流。 ⑷数码管 数码管一般有发光二极管( LED) 数码管和液晶( LCD) 数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、 R2, 电容C1、 C2 构成一个多谐振荡器, 利用电容的充放电来调节输出V0, 产生矩形脉冲波作为时钟信号, 因为是数字钟, 因此应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路, 按时间进制从右到左构成从低位向高位的进位电路, 并经过译码显示。在六位LED 七段显示起

数电课程设计-30秒倒计时器

. 定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13

时间:2015年1月4日 目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1.具有30S减计时功能,计时时间到后发出声光报警信号(点亮LED,喇叭鸣叫); 2.计时时间精确(用石英振荡器产生秒信号); 3.用数码管显示剩余时间; 4.具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减

10秒倒计时器的电子课程设计要点

机械与电子工程学院 课程设计报告 课程名称数字电子技术课程设计设计题目10秒倒计时器的设计所学专业名称电子信息工程 班级 学号 学生姓名 指导教师 2014年6月3日

任务书 设计名称:10秒倒计时器的设计 学生姓名:指导教师: 起止时间:自2014 年 5 月21 日起至2014 年 6 月4 日止 一、课程设计目的 1).熟悉集成电路及有关电子元器件的使用; 2).了解计时器主体电路的组成及工作原理; 3).学习数字电路中基本555定时器、时钟发生器及计数、译码显示等单元电路的综合应用。 二、课程设计任务和基本要求 设计任务: 1).设计好完整的操作方案。 2).对电路的原理进行简要分析。 3).在Multisim仿真软件中绘制出完整仿真电路图。并且尽可能地使整 个电路简洁、整齐、一目了然。 4).对设计的电路进行调试,完成课程设计应达到的目的. 基本要求: 1)具有10秒倒计时功能; 2)设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能; 3)计时器计时间隔为1秒; 4)计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。 5计时器暂停计数时,数码管闪烁提醒;

指导老师评价表

目录 摘要与关键字........................................................................1 1倒计时器组成及原理................................................................ 1.1倒计时计数器组成.................................................... 1.2工作原理 (3) 2.拟定设计方案 (4) 2.1用Multisim进行仿真计 (4) 2.2设计实现数码管示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电5 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 3.功能说明总结………………………………………… 4.课程设计小结 (9) 参考文献 (10) 附录一 附录二

大连理工大学数字电路课程设计报告_多功能数字时钟设计说明

理工大学本科实验报告 题目:多功能数字时钟设计 课程名称:数字电路与系统课程设计学院(系):信息与通信工程学院 专业:电子信息工程 班级: 学生: 学号: 完成日期: 2014年7月16日

2014 年 7 月 16 日 题目:多功能数字时钟设计 1 设计要求 1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒); 3) 具有整点报时功能,从00分00秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。 2 设计分析及系统方案设计 2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。 从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。 2.3多功能数字钟的秒表功能部分:计时围从00分00.00秒至59分59.99秒。可由复位键0异步清零,并由开关1控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为0.01秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键2(设定小时)和按键1(设定分钟)设定闹钟时间,当按下按键一秒未松手时,可进行快速设定时间。当时钟进入闹钟设定的时间(判断时钟的时信号时针,分针分别与闹钟设定的时信号时针、分针是否相等),则以LED灯连续亮10秒钟进行提示,并由开关0控制闹钟的开和关。 2.5 多功能数字钟的倒计时功能部分:可通过按键3(设定分针)和按键2(设定秒针)

基于74LS192的30秒倒计时设计_____哈工大电工实验

姓名#### 班级######## 学号####### 实验日期2015.5.26 节次5—6 教师签字成绩 基于74LS192的30秒倒计时设计 1.实验目的 1)掌握555定时器的功能; 2)了解74LS192减法计数器的功能; 3)掌握RS触发器在消除开关抖动中的应用; 4)掌握调试电路的方法; 5)掌握电路设计的基本思想和流程。 2.总体设计方案 1)30进制计数器的设计 本实验采用74LS192芯片作为计数器,74LS192是同步的加减计数器,其具有清除和置数的功能。本实验选择两片74LS192作为分别作为30的十位和个位。本实验中将作为十位的计数器输入端置为0011而将个位的输入端置为0000。将两片74LS192的置数端连出来与开关B相连,开关B控制置数端与高电平还是低电平,从而实现当30倒计时到00时,通过手动操作开关B而可以重新开始倒计时,计数器的电路连接如下图所示: 图1:计数器电路设计 2)T=1s的时间脉冲的设计 本实验采用由555定时器组成的多谐振荡器来产生周期为1s的时间脉冲,从而为30秒倒计时提供了脉冲输入。这里取R1=51kΩ,R2=47 kΩ,C=10μF。 由于震荡周期T≈0.7(R1+2R2)C=0.7×(51kΩ+2×47 kΩ)×10μF=1.015s,显然这样的设计是符合实验要求的。

图2:555定时器组成的多谐振荡器 3)RS触发器控制电路设计 将RS触发器应用到开关电路中能很好的对30秒倒计时进行控制。当B开关打到右侧时,无论A开关打到哪侧,倒计时均未开始;当B开关打到左侧时,A开关打到右侧开始倒计时,A开关打到左侧暂停倒计时。 图3:RS开关控制电路 3.实验电路图 运用Multisim13绘制的实验电路图如下所示:

单片机课程设计倒计时秒表

此次设计是我们更进一步了解基本电路的设计流程,提高自己的设计理念,丰富自己的理论知识,巩固所学知识,使自己的动手动脑能力有更进一步提高,为自己今后的学习和工作打好基础,为自己的专业技能打好基础。通过解决实际问题,巩固和加深“单片机原理与应用”课程中所学的理论知识和实验能力,基本掌握单片机应用电路的一般设计方法提高电子电路的设计和实验能力,加深对单片机软硬知识的理解,获得初步的应用经验以后从事生产和科研工作打下一定的基础。本次设计注重对单片机工作原理以及键盘控制及显示原理的理解,以便今后自己在单片机领域的学习和开发打下基础,提高自己的动手能力和设计能力,培养创新能力,丰富自己的理论知识,做到理论和实践相结合。本次设计的重要意义还在于对单片机的内部结构和工作状态做更进一步的了解,同时还对单片机的接口技术,中断技术,存储方式和控制方式作更深层次的了解。掌握单片机应用系统的软硬件设计过程、方法及实现,强化单片机应用电路的设计与分析能力。提高学生在单片机应用方面的实践技能和科学作风;培育学生综合运用理论知识解决问题的能力,实现理论结合实际,学以至用的原则。用所学的知识和自身课外的拓展学习加深对专业课的理解和学习;锻炼综合运用电路设计及相关电子仪器、单片机软硬件结合的理论,结合生产实际分析和解决工作工程实际问题的能力,加固、加深和扩展有关电子类,汇编语言,相关电子电路和仿真软件方面的知识和能力。通过本次课程设计,应加强培养如下能力: (1)加强自身独立的动手能力和思考解决问题的能力,提高创造能力; (2)学会使用软件Proteus画原理图和仿真调试。 (3)学会基本焊接电路板的技能 通过本次课程设计加深对单片机课程的全面认识复习和掌握,对单片机课程的应用进一步的了解。 二、设计要求 } 1、可以以实现正常秒表的所有功能,包括启动、暂停、复位等; 2、可以自由设定倒计时时间(10s、20s、30s···),并进行倒计时; 3、显示方式自选; 4、任选一款51单片机; 5、扩展功能:在秒表的基础上增加时钟功能,倒计时完成时加入报警单元,如声音、灯光等。

数电课设大作业数字钟

大连理工大学本科实验报告 题目:数字钟 课程名称:数字电路课程设计 学院(系):电信 专业:电气 班级:1201 学生姓名: 学号: 完成日期:2014.11.23 成绩: 2014 年11 月23 日 课程设计得分表 一、数字钟课程设计要求: 1、设计一个具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)计时器。 2、整点报时。两种方法任选其一: ⑴发出仿中央人民广播电台的整点报时信号,即从59分50秒起,每隔2秒钟发出一次低音“嘟”的信号,连续5次,最后一次要求高音“嘀”的信号,此信号结束即达到整点。“嘟”是500Hz左右的频率输出,“嘀”是1000Hz左右的频率输出 ⑵通过LED闪烁实现,闪烁频率及花型可自己设计并在这里说明。 3、手动校时、校分、校秒。 4、定时与闹钟功能,能在设定的时间发出闹铃声。 5、设计一个秒表,显示1%秒到60秒、手动停止。 6、设计一个倒计时,显示小时、分钟、秒。 7、其他创新。 第1题25分,其他每题5分 二、课程设计考试(40分,每题分): 考试题目: 1、实体名□

2、计数器□ 3、异步清零□ 4、进位输出□ 5、仿真图□ 6、数码管输出□ 7、分频□ 8、元件例化□ 9、引脚分配□ 10、下载□

题目:数字钟 (1.大连理工大学电信学院,辽宁大连,116023; 2. 大连理工大学电工电子实验中心,辽宁大连,116023;) 1.设计要求 一、电子表部分: (1)由晶振电路产生1HZ的校准秒信号。? (2)设计一个具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)计时器具有手动校时、校分,校秒和清零的功能。? (3)整点报时功能,通过LED闪烁实现,此实验LED灯亮一秒。 二、秒表部分: (1)有晶振产生100HZ的校准0.01秒信号。 (2)设计一个有“时”、“分”、“秒”、“0.1秒”、“0.01秒”(23小时59分59秒99)显示功能 (3)具有开始计时暂停计时功能和清零功能 三、具有电子表和秒表状态切换。 四、划出框图和逻辑电路图,写出设计。 2. 设计分析及系统方案设计 1设计主要分为数字钟和秒表计时两个部分并选择用二选一数据选择器来实现两种功能切换。 2由于时钟用的是cyclone2开发板上提供的50MHz晶振的频率,所以数字时钟和秒表计时都用到分频器分频分别得到1Hz和100Hz的时钟频率。 3数字时钟部分包括分频部分即分频器;计时部分,包含模六计数器、模十计数器;选位调节部分,分别对时钟分钟和小时部分进行调节,用二选一数据选择器实现;数字显示部分,用到6个4-16译码器,和6个数码显示管;整点报时部分,其中报时用LED灯闪烁代替;含有清零端开关。 4 秒表计时包含分频器;计时器包含模六计数器,模十计数器,模三计数器;数字显示器包含有8个4-16译码器,8个数码显示管;计时启停开关,清零开关。 3.系统以及模块硬件电路设计 输入:晶振50MHz,选位开关(0为可调节,1为正常计时),数字钟清零开关(0为清零),开关切换计时状态(1为数字计时,0为秒表计时),秒表启停开关(1启动,0停止),秒表复位开关(0复位),选择输出开关(1输出数字计时数字,0输出秒表计时数字)。 输出:LED灯,数字显示部分。

单片机30秒倒计时

天津工业大学 电子CAD课程设计 报告书 三、总体方案 本设计由硬件设计和软件设计两部分组成,总电路如图1所示,硬件设计主要包括单片机芯片选择,数码管选择及晶振,电容,电阻等元器件的选择及其参数的确定;软件设计主要是实现30秒倒计时程序的编写,包括利用中断实现1秒的定时及30秒的倒计时。 具体设计:通过AT89C51型号单片机,由P0 I/O引脚分别控制7SEG–MPX2–CA型号数码管,通过单片机的p2.0和p2.1控制选通数码管控制十位和个位,达到显示30秒倒计时的目的。。30秒倒计时,到0时P1.0 1KHZ 声音报警,P1.1 LED 2 秒闪烁一次。4 秒后声光停

图1 30秒倒计时总体电路设计 3.3.1硬件设计方法 AT89C51的芯片概述 AT89C51是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。AT89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。其工作电压在4.5-5V,一般我们选用+5V电压。外形及引脚排列如图2所示: AT89C51主要特性

图2:AT89C51的核心电路框图 LED数码管显示器概述 本设计中采用的是7SEG–MPX2 –CA型号7段共阳数码管,它是一种半导体发光器件,其基本单元是发光二极管。实物如图3所示: 图3 7SEG–MPX2–CA型号数码管 图5 程序框图

篮球24秒倒计时器课程设计报告

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级:11通信 1班 姓名:张梦瑶 学号:20110306111 成绩: 指导老师:李海霞 开课时间:2012-2013学年二学期

一、设计题目 篮球比赛 24 秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim 软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停 /继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为 0 分,无故旷课三次总成绩为 0 分。迟到 20 分钟按旷课处理。

数电课程设计 数字时钟

机电工程学院 本科生课程设计 题目:数字时钟 课程:数字电子技术 专业:电气工程及其自动化 班级: 学号: 姓名: 指导教师: 完成日期:

任务书

目录 1设计的目的及任务 (1) 1.1 课程设计的目的 (1) 1.2 课程设计的任务与要求 (1) 1.3 课程设计的技术指标 (1) 2 数字时钟的介绍和原理 (2) 2.1 数字时钟的介绍 (2) 2.2 数字时钟的电路组成 (2) 2.3 数字时钟的工作原理 (3) 3 数字时钟总设计方案和各部分电路设计方案 (4) 3.1 数字时钟总设计方案 (4) 3.2 各部分电路设计方案 (5) 3.3 总电路设计图 (17) 4 电路仿真 (17) 5收获与体会 (24) 6 仪器仪表明细清单 (24) 参考文献 (25)

1.设计的目的及任务 1.1 课程设计的目的 (1)巩固所学的相关理论知识; (2)实践所掌握的电子制作技能; (3)会运用Mutisim工具对所作出的理论设计进行模拟仿真测试,进一步完善理论设计;(4)通过查阅手册和文献资料,熟悉常用电子器件的类型和特性,并掌握合理选用元器件的原则; (5)掌握模拟电路的安装\测量与调试的基本技能,熟悉电子仪器的正确使用方法,能力(6)分析实验中出现的正常或不正常现象(或数据)独立解决调试中所发生的问题;(7)学会撰写课程设计报告; 1.2 课程设计的任务与要求 (1)根据技术指标要求及实验室条件设计出电路图,分析工作原理,计算元件参数;(2)列出所有元器件清单; (3)安装调试所设计的电路,达到设计要求; (4)记录实验结果。 1.3 课程设计的技术指标 (1)准确计时,用数码管显示小时、分和秒; (2)小时以24小时计时; (3)带有时间校正功能; (4)“闹钟”功能;

数电课程设计-30秒倒计时器

30秒倒计时计数器设计 ——数字电子计数基础课程设计 学院:计算机学院 专业班级:通信工程10-2班 时间:2013年1月7日

目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9)

参考文献 …………………………………………………………………………………10 附录: 一、电路原理图 .................................................................................11 二、元器件明细表 (11) 设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1、具有30秒倒计时功能; 2、设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能; 3、计时器计时间隔为1秒; 4、计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。 5、计时器暂停计数时,数码管闪烁提醒; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL 集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 倒计时计数器原理组成框图 秒定时振荡 发生器 减法计数器 数码管译码器 七段数码管显示 控制电路 闪烁报警电路

相关主题