搜档网
当前位置:搜档网 › ModelsimSE仿真步骤

ModelsimSE仿真步骤

ModelsimSE仿真步骤
ModelsimSE仿真步骤

WILDSC

ModelsimSE仿真教程

Verilog & VHDL

孙玉阳

2014/6/3

对于ModelsimSE仿真设置网上很难找到详细教程,在此写一篇基于Verilog 和VHDL的ModelsimSE的仿真设置教程,以期缩短大家ModelsimSE学习时间,由于时间仓促,不足之处还请见谅。

目录

1 ModelsimSE仿真——Verilog HDL (2)

1.1 建立资源库 (2)

1.1.1 建立资源库的目的 (2)

1.1.2 建立资源库前准备 (2)

1.1.3 建立资源库的步骤 (3)

1.2 功能仿真 (11)

1.2.1 建立ModelsimSE工程 (11)

1.2.2 添加Quartus工程文件 (12)

1.2.3 编译 (14)

1.2.4 仿真 (18)

1.3 时序仿真 (21)

2 ModelsimSE仿真——VHDL (25)

2.1 建立资源库 (25)

2.1.1 建立资源库的目的 (25)

2.1.2 建立资源库前准备 (25)

2.1.3 建立资源库的步骤 (26)

2.2 功能仿真 (33)

2.2.1 建立ModelsimSE工程 (33)

2.2.2 添加Quartus工程文件 (34)

2.2.3 编译 (37)

2.2.4 仿真 (40)

2.3 时序仿真 (44)

1ModelsimSE仿真——Verilog HDL

1.1建立资源库

1.1.1建立资源库的目的

Quartus Verilog工程文件里面在使用Primitives、Megafunction、LPM等Quartus自带模块时,会调用Quartus本身自带的一些库文件,但是ModelsimSE在仿真Quartus Verilog工程文件时不会自动去调用Quartus的库文件,同时ModelsimSE也不自带与Primitives、Megafunction、LPM相关的库文件。所以在仿真Primitives、Megafunction、LPM等模块时,必须在ModelsimSE里建立与其对应的资源库,否则无法仿真。

1.1.2建立资源库前准备

(备注:若需要后仿真(时序仿真)则进行这一步骤,若只进行前仿真(功能仿真)则跳过此步骤):

打开待仿真的quartus工程,点击菜单Assignments->Settings,打开如下窗口

将以下红色部分设置好,先点击apply,然后点击OK即可

然后会发现quartus工程里多了个simulation文件夹,打开改文件夹simulation->modelsim,会发现里面有很多.VO和.SDO文件。

1.1.3建立资源库的步骤

1.1.3.1建立文件夹

首先在modelsimSE的安装目录下(比如,如果安装在C盘,则安装目录为C:\modeltech_10.0c)建立两个空文件夹文件名分别为altera_base_v,altera_device(这个名字最好就命名为这两个)。

1.1.3.2建立库altera_base_v

打开modelsimSE,注意此时不要打开任何工程,如若已经打开modelsimSE工程,点击菜单file->change directory ,然后随便指定一个文件夹,将工程关掉。

下面开始建立lpm资源库,首先新建一个library,方法是打开菜单file->new->library,出现如下图所示窗口,按下图所示窗口填好,注意:Library Physical Name应该指向的是上一节所

建立的altera_base_v文件夹。

点击OK之后,回到modelsim界面,会发现多出了altera_base_v (empty)库,现在这个库里什么都没有,下面往里面添加内容。

打开菜单Compile->Compile..,弹出如下界面:

注意下图设置,Library一定要选择刚刚新建的altera_base_v库,查找范围则是在quartus 的安装目录下,在quartus的安装目录中找到sim_lib文件夹,比如我的quartus安装在C盘,则查找路径为C:\altera\11.0\quartus\eda\sim_lib。

为了建立altera_base_v库,需要编译3个文件,分别是220model.v,altera_mf.v,altera_primitives.v,这三个文件分别对应Quartus中的LPM库、Megafunction库、Primitiv库。同时选中者三个文件,点击Compile,编译完之后点击Done。

此时发现刚刚新建的altera_base_v库前面出现了“+”号,说明里面已经有东西了,如下图所示:

1.1.3.3建立库altera_device

建立库altera与建立库altera_base_v的流程是一样的,首先新建一个library,方法是打开菜单file->new->library,出现如下图所示窗口,按下图所示窗口填好,注意:Library Physical Name应该指向的是上一节所建立的altera_device文件夹。

点击OK之后,回到modelsim界面,会发现多出了altera_device (empty)库,现在这个库里什么都没有,下面往里面添加内容。

打开菜单Compile->Compile..,弹出如下界面:

注意下图设置,Library一定要选择刚刚新建的altera_device库,查找范围则是在quartus 的安装目录下,在quartus的安装目录中找到sim_lib文件夹,比如我的quartus安装在C盘,则查找路径为C:\altera\11.0\quartus\eda\sim_lib。

为了建立altera_device库,需要编译1个文件cycloneive_atoms.v(用什么型号的FPGA 选择什么名称的文件,这里用的FPGA是cycloneive),选中cycloneive_atoms.v后,点击Compile ,编译完之后点击Done,此时发现刚刚新建的altera_device库前面出现了“+”号,说明里面已经有东西了,如下图所示:

1.1.3.4更改ModelsimSE的配置文件

两个资源库altera_base_v,altera_device编译完成之后还没有结束,我们还需要改一下ModelsimSE的配置文件,配置文件在ModelsimSE的安装目录下(C:\modeltech_10.0c),文件名为modelsim.ini,在更改modelsim.ini时,最好先备份一下吧,防止不甚改错掉。

用记事本打开modelsim.ini(如果用记事本打开内容很乱,就用写字板打开吧),找到[Library],在后面添加如下图所示的两行命令,指定Library所在的位置,注意每一行命令一定要以回车结尾。(modelsim.ini是只读文件,首先去掉只读才能更改)

关闭ModelsimSE 软件,重新打开,会发现ModelsimSE 中的库多了以下两条,

如果找不到这两条,证明库没有添加成功,检查modelsim.ini更改是否正确。(注意:若是打开之前建立的ModelsimSE工程,则还是不会出现这两个库)

1.2功能仿真

1.2.1建立ModelsimSE工程

打开ModelsimSE菜单file->new->Project,会出现下图对话框:

Project Name既是所要建立的工程名,Project Location为工程存放的位置,Default Library Name既是工作库的名字,一般默认为work,不要去改这个名字。一个ModelsimSE 工程只有一个工作库,很多个资源库,之前建立的altera_base_v,altera_device就是资源库。

1.2.2添加Quartus工程文件

Quartus工程文件的结构如下如所示:

这里建议把所有的模块名称都换为小写,理由如下:如果lpm_latch_12中有一个字母是大写,在将dsp_fpga_register.bdf生成dsp_fpga_register.v文件时,就会多生成lpm_latch_12_0.v、lpm_latch_12_1.v、…、lpm_latch_12_29.v共30个文件,这样子仿真往往会没有输出结果,如果是小写,就不会有这30个文件,所以在建立Quartus工程时,建议所有的模块名称全部改为小写。

由于ModelsimSE不能对BDF文件进行仿真,必须把BDF文件转换为verilog文件,只要选中BDF文件,在Quartus中选中菜单File->create/Update->create HDL Design file from current file即可。

在ModelsimSE中,如下图所示,选中红框出

然后右击空白处,选择Add to Project –> Existing File,

电机Browse..选择Quartus中对应的文件。由上面的Quartus工程文件结构可以发现Quartus从顶层到底层共有两层,共4个实体模块,30个lpm_latch_12模块是同一个实体模块的复制,所以只能算一个,那么ModelsimSE中共需导入4个文件,如下图所示:

这四个文件少一个都不行,多了也是不对的。注意上图的蓝色问号了吧,这是由于ModelsimSE还没有对着四个文件进行编译。

下面新建testbench测试文件,在ModelsimSE中,如下图所示,选中红框出

然后右击空白处,选择Add to Project –>New File,

注意红框出选择Verilog,点击ok即可。

至于testbench文件如何编写,这里就不做详细叙述了,否则篇幅太大了。这里只说一个建议吧,testbench文件中signal的名称是可以和Quartus顶层实体输入输出信号的名称一样的,如下图

至此,ModelsimSE工程中该添加的文件都添加完了,下面就是编译仿真了。

1.2.3编译

由于Quartus里调用了primitives库,所以ModelSimSE里也必须调用我们之前做的库,调用设置如下:

在ModelSimSE里打开菜单Simulate->start simulation,打开如下图所示窗口:

选择 Libraries,然后Add..,然后点击下拉箭头(注意不要选择Browse..,选择

旁边的下拉箭头即可)。添加如下图所示的两个库,

点击OK即可。

在ModelsimSE中右击上一节添加的文件,点击Compile->Compile all编译所有的五个文件,编译通过后,蓝色的问号会全部变为绿色的对号,同时Transcript中也会显示0 failed 0 error,如下面两图:

此时点击Library,展开work,会有一系列的实体名出现,这些实体名既是我们Quartus 中的实体名。

若编译发生如下错误,则是由于软件问题,在新建工程时没有产生work库,

此时需要新建一个空的work库,如下图所示:

建立好新的work库之后,再重新编译。

1.2.4仿真

在ModelSimSE里,再次打开菜单Simulate->start simulation,打开如下图所示窗口:

记得将库work添加进去,下面选择Design,如下图:

展开库work,选中testbench文件中定义的实体名,取消Enable optimization,否则可能发生仿真只有输出没有输入信号的情况。点击ok,ModelsimSE就会切换到仿真界面。

在sim窗体中逐渐展开testbench中的实体模块,会发现这些实体和Quartus工程中建立的实体模块是一一对应的,我们想看哪个实体模块的信号,只要选中那个实体模块,然后再Object窗体中右击想要看的那个信号,选择Add->To wave ->selected signals即可。

施工组织设计编制的步骤方法

施工组织设计编制的步骤方法 由于施工工程项目的大小不同,所要求编制组织设计的内容也有所不同,但其方法和步骤基本大同小异,大致可按以下步骤进行。 (1)收集编制依据文件和资料 1)工程项目设计施工图纸。 2)工程项目所要求的施工进度和要求。 3)施工定额、工程概预算及有关技术经济指标。 4)施工中可配备的劳力、材料和机械装备情况。 5)施工现场的自然条件和技术经济资料。 (2)编写工程概况 主要阐述工程的概貌、特征和特点,以及有关要求等。 (3)选择施工方案、确定施工方法 主要确定对工程施工的先后顺序、选择施工机械类型及其合理布置.明确工程施工的流向及流水参数的计算,确定主要项目的施工方法等(总设计还需先做出施工总体布署方案)。 (4)制定施工进度计划 包括对分部分项工程量的计算、绘制进度图表。对进度计划的调整平衡等。 (5)计算施工现场所需要的各种资源需用量及其供应计划(包括各种劳力、材料、机械及其加工预制品等)。 (6)绘制施工平面图 (7)其他 提出对有关工得的质量通病和易于发生安全问题的环节。订出防治措施、制定降低成本(如节约劳力、材料、机具及临时设施费等)的具体措施、超奖减罚等的具体要求和技术经济指标。 施工组织设计编制要点 1、封面:含编制单位、负责人、编制人、编制时间,有企业的logo。 2、目录:有页码、应细分层次,重点章节可列出三级目录,要章节层次明晰。 3、编制依据:招标文件、建设文件、工程勘察和技术经济资料、类似工程的有关资料、现行规范、规程和有关的技术规定;企业标准及现有资源。 4、工程概况:项目概况,工程地区的自然、技术经济条件,其他诸如主要设备、特殊物资供应,参加施工各单位生产能力和技术水平情况,建设单位或上级主管部门对施工的要求;有关建设项目的决议和协议;土地片用范围和居民搬迁情况等。 5、工程特点、重点、难点的分析:一份施工组织设计的质量在很大程度上取决于编制者对具体工程特殊部分的把握和在此基础上提现的解决方案,此部分应当详细。 6、施工部署:含确定工程开展程序,拟定主要工程项目的施工方案,明确施工任务划分与组织安排,编制施工准备工程计划等内容。 总体施工工序流程是对工程具体情况包括工程量、工期、工程特点、环境等总体分析的基础遵循一定的原则提出的,确定施工顺序的原则有:在保证工期的前提下,分期分批施工。一

multisim仿真教程

Multisim软件简介 二极管电路 基本功放 差分放大器电路 负反馈放大器 集成运算放大器信号运算处理电路互补对称(OCL)功率放大器 信号产生与转换电路 可调三端集成直流稳压电源电路13.1 Multisim用户界面和基本操作在此处插入图片说明 13.1.1 Multisim用户界面

在许多EDA仿真软件中,Multisim软件具有友好的界面,强大的功能,易于学习和使用,受到电气设计和开发人员的青睐。Multisim是一种虚拟仿真软件,用于通过软件方法对电子元器件进行虚拟设计和电路测试。 Multisim来自交互式图像技术(IIT)的基于Windows的仿真工具,以前称为EWB。 1988年,IIT公司推出了用于电子电路仿真和设计的EDA工具软件,电子工作台(EWB),它以其直观的界面,便捷的操作,强大的分析功能以及易于学习和使用而迅速普及和使用。 IIT在1996年推出了EWB5.0版本。ewb5之后。在X版本和EWB6.0版本中,IIT 将EWB更改为Multisim(多功能模拟软件)。 IIT被美国国家仪器公司Ni收购后,其软件更名为Ni Multisim。第9版之后,Multisim 经历了多个版本的升级,包括Multisim2001,Multisim7,Multisim8,Multisim9,Multisim10等。增加了单片机和LabVIEW虚拟仪器的仿真和应用。

下面以Multisim10为例介绍其基本操作。图13.1-1显示了Multisim10的用户界面,包括菜单栏,标准工具栏,主工具栏,虚拟仪器工具栏,组件工具栏,仿真按钮,状态栏,电路图编辑区域等。 图13.1-1 Multisim10用户界面 菜单栏类似于Windows应用程序,如图13.1-2所示。 图13.1-2 Multisim菜单栏 其中,选项菜单下的全局首选项和工作表属性可用于个性化界面设置。Multisim10提供了两组电气元件符号标准: ANSI:美国国家标准协会,美国标准,默认为标准,本章采用默认设置; 丁:德国国家标准协会,欧洲标准,与中国符号标准一致。 工具栏是标准的Windows应用程序样式。 标准工具栏: 查看工具栏:

Vericut 基础教程-构建机床、程序原点、刀具设置、宏程序仿真 by ljg

Vericut 基础培训一构建三轴机床、仿真宏程序 Vericut 基础培训1 ——构建三轴机床,仿真宏程序 作者:LJG 使用Vericut仿真,必须包含毛坯、数控程序、刀具三个部分,但为了仿真的准确性和真实性,我们还需要机床、夹具用于仿真碰撞,设计模型用于比对仿真结果的正确性等。 这一章我们从基本的三轴机床构建讲起。 在Vericut里有两种方法构建机床,一种是通过Vericut自带的简单建模工具建立机床模型,另外一种是使用其它CAD软件先建立好机床模型,再将机床模型文件导出为Vericut可以接受的文件格式,再导入Vericut。用Vericut自带的建模工具建立机床模型比较麻烦,这里我们用第二中方法,利用NX将建好的机床模型文件导出为.STL 格式文件,并导入Vericut用以构建三轴机床。 一、从NX输出机床模型 从论坛https://www.sodocs.net/doc/6b11185874.html,上下载机床模型文件,用NX6打开,如下图1所示。 图 1 一般像机床外壳,控制系统操作面板等实际仿真过程中不需要的部件可以不导出,不过在Vericut里导入不参与仿真的部件可以增加机床的真实感。这里我们不导出机床外壳,控制系统操作面板这两个部件,将这两个部件隐藏如图2所示。

图 2 将不用的部件隐藏后,我们可以看见如图3所示的主轴端面的坐标系。 图 3 在机床建模的时候,我们一般会按照机床的机械零点位置来建立各个机床运动部件的模型,而机床的Z轴的机械原点一般在主轴端面,如图3所示。但从这个机床模型可以看出X、Y轴的位置并不在机械原点,所以我们导出后还要在Vericut里进行调整。 下面先输入机床床身,即在仿真过程中不运动的部件。选择主菜单File > Export >STL…,弹出Rapid Prototyping对话框,这里可以设置输出模型的公差,公差的大小会影响STL文件的大小,不改变参数,单击OK,在弹出的对话框中输入要保存的文件名,输入Based_Y,双击鼠标中键(单击两次OK),选择绿色的底座和导轨,如图4所示的高亮显示部件,选择完成后所有弹出的窗口,都选择OK。 图4

最详细最好的Multisim仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

运算放大器的电路仿真设计

运算放大器的电路仿真设计 一、电路课程设计目的 错误!深入理解运算放大器电路模型,了解典型运算放大器的功能,并仿真实现它的功能; 错误!掌握理想运算放大器的特点及分析方法(主要运用节点电压法分析); ○3熟悉掌握Multisim软件。 二、实验原理说明 (1)运算放大器是一种体积很小的集成电路元件,它包括输入端和输出端。它的类型包括:反向比例放大器、加法器、积分器、微分器、电 压跟随器、电源变换器等. (2) (3)理想运放的特点:根据理想运放的特点,可以得到两条原则: (a)“虚断”:由于理想运放,故输入端口的电流约为零,可近似视为断路,称为“虚断”。 (b)“虚短”:由于理想运放A,,即两输入端间电压约为零,可近似视为短路,称为“虚短”. 已知下图,求输出电压。

理论分析: 由题意可得:(列节点方程) 011(1)822A U U +-= 0111 ()0422 B U U +-= A B U U = 解得: 三、 电路设计内容与步骤 如上图所示设计仿真电路. 仿真电路图:

V18mV R11Ω R22Ω R32Ω R44Ω U2 DC 10MOhm 0.016 V + - U3 OPAMP_3T_VIRTUAL U1 DC 10MOhm 0.011 V + - 根据电压表的读数,, 与理论结果相同. 但在试验中,要注意把电压调成毫伏级别,否则结果误差会很大, 致结果没有任何意义。如图所示,电压单位为伏时的仿真结 果:V18 V R11Ω R22Ω R32Ω R44Ω U2 DC 10MOhm 6.458 V + - U3 OPAMP_3T_VIRTUAL U1 DC 10MOhm 4.305 V + - ,与理论结果相差甚远。 四、 实验注意事项 1)注意仿真中的运算放大器一般是上正下负,而我们常见的运放是上负下正,在仿真过程中要注意。

AltiumDesigner中的电路仿真

今天看了下Altium Designer的电路仿真功能,发现它还是蛮强大的,按着help里面的文档《TU0106 Defining & running Circuit Simulation 》跑了一下,觉得还行,所以就把这个文档翻译下。。。。。 其中包含了仿真功能的介绍,元件仿真模型的添加与修改,仿真环境的设置,等等。本人对SPICE仿真了解的不多,里面涉及到SPICE的文件如果有什么错误,欢迎提出! 一、电路仿真功能介绍 Altium Designer的混合电路信号仿真工具,在电路原理图设计阶段实现对数模混合信号电路的功能设计仿真,配合简单易用的参数配置窗口,完成基于时序、离散度、信噪比等多种数据的分析。Altium Designer 可以在原理图中提供完善的混合信号电路仿真功能 ,除了对XSPICE 标准的支持之外,还支持对Pspice模型和电路的仿真。 Altium Designer中的电路仿真是真正的混合模式仿真器,可以用于对模拟和数字器件的电路分析。仿真器采用由乔治亚技术研究所(GTRI)开发的增强版事件驱动型XSPICE仿真模型,该模型是基于伯克里SPICE3代码,并于且SPICE3f5完全兼容。 SPICE3f5模拟器件模型:包括电阻、电容、电感、电压/电流源、传输线和开关。五类主要的通用半导体器件模型,如diodes、BJTs、JFETs、MESFETs和MOSFETs。 XSPICE模拟器件模型是针对一些可能会影响到仿真效率的冗长的无需开发局部电路,而设计的复杂的、非线性器件特性模型代码。包括特殊功能函数,诸如增益、磁滞效应、限电压及限电流、s域传输函数精确度等。局部电路模型是指更复杂的器件,如用局部电路语法描述的操作运放、时钟、晶体等。每个局部电路都下在*.ckt文件中,并在模型名称的前面加上大写的X。 数字器件模型是用数字SimCode语言编写的,这是一种由事件驱动型XSPICE模型扩展而来专门用于仿真数字器件的特殊的描述语言,是一种类C语言,实现对数字器件的行为及特征的描述,参数可以包括传输时延、负载特征等信息;行为可以通过真值表、数学函数和条件控制参数等。它来源于标准的XSPICE代码模型。在SimCode中,仿真文件采

(完整版)施工组织设计审核流程

施工组织设计审批流程 有修改意见修改补充有修改意见 编制施工组织设计 (工程科) 初审 (工程科) 公司会审 (公司技术负责人、各专业工程师、技术员参加) 施工组织设计审批 (监理组) 将批件返回单位 并送业主 施工组织设计实施

施工组织设计审批程序 一、编制依据 根据合同条款的有关规定、国家标准、江苏省地方标准制定本工作程序。 二、目的 控制工程总体安排和进展符合合同文件的规定。 三、适用范围 本程序使用于管片、板梁、栏板、护筒合同工程施工组织设计的控制。四、施工组织设计文件内容 施工组织设计文件内容包括:施工组织设计、施工方案等。 1、施工组织设计 1.1施工组织设计应包含以下内容: 1.1编制依据 1.2工程概况 1.3施工部署 1.4施工准备 1.5 主要施工方法 1.6主要管理措施 1.7施工总平面图 2、施工方案 选用于分部、分项或专项工程。 2.1编制依据 2.2施工部位的概况分析 2.3施工准备 2.4 施工安排 2.5 主要施工方法 2.6质量要求 2.7其他要求 五、施工组织设计文件的审批 1、施工组织设计文件应严格执行编制与审批程序。应按照以下要求组织编

制和审批工作。 2、单位内部的编制与审批 各类施工组织设计文件审批人员组成 3、修改与补充 3.1单位工程施工组织设计 工程施工过程中,当其施工条件、总体施工部署或主要施工方法发生变化时,项目负责人或项目技术负责人应组织相关人员对该文件进行修改和补充,并进行相关交底。 3.2施工方案 当工程施工条件发生变化,原方案不能满足施工要求时,项目技术负责人应及时组织相关人员对相应部分进行修改、补充并作好交底。 六、施工组织设计文件的审核程序 1、承包人在签定《合同协议书》后,应尽快组织各方人员进行图纸审核、确定施工方案,开始编制施工组织设计。 2、编制好施工组织是后工程科应组织内部初审并完善。 3、公司项目负责人组织公司相关技术人员对施工组织设计会审。 4、向监理组报送施工组织设计。 5、将批件返回单位并同时报送业主。 6、实施施工组织设计。

VERICUT虚拟加工仿真过程研究

VERICUT虚拟加工仿真过程研究 随着现代工业的发展,零件的复杂程度、精度要求越来越高,经过软件自动生成的刀具路径处理后,生成的NC程序也更加复杂。因此,如何保证NC程序的精确性,成为数控加工生产中的一个难点。虚拟制造技术正是在这种背景下近年来出现的一种新的先进制造技术;在实际加工过程前,能够对具体加工过程进行仿真、优化,并对虚拟结果进行分析,可预先发现和改进实际加工中出现的问题,以较优的加工工艺投入生产。虚拟制造技术由建模技术、仿真技术、控制技术及支撑技术组成。其中,建模与仿真是虚拟制造技术的基础与核心。虚拟制造依靠建模与仿真技术模拟制造、生产和装配过程。虚拟加工环境是进行制造过程仿真、预测加工问题的前提和基础。 本文将在虚拟制造软件VERICUT平台上,提出建立仿真机床的方法与过程,并结合具体实例,说明在VERICUT平台上进行虚拟机床建模的过程。 1 VERICUT主要功能 VERICUT是CGTech公司提供的一种专用于数控加工仿真的软件,具有较强的机床和NC程序的仿真功能。其主要功能模块如下: 1)Verification:三轴加工验证及分析。 2)OptiPath:对切削用量进行优化设计,以满足最小加工时间的目标函数及最大机床功率等约束条件的要求。 3)Model Export:从NC刀具路径创建CAD兼容模型。 4)Machine Simulation:提供虚拟机床及其工作环境建模功能;解读可识别的数控代码。 5)Mult-iAxis:四轴及五轴验证。 6)AUTO-DIFF:实时擦伤检查和模型分析,并与CAD设计模型相比较。 7)Machine Developerps Kit:定制VERICUT功能,用来解释复杂或不常用的数据。 8)AdvancedMachine Features:提高VERICUT仿真复杂机床功能的能力。 9)CAD/CAM Interfaces:可从Pro/E、UG、CA TIA等CAD/CAM系统内部无缝运行VERICUT。 10)VERICUT Utilities:模型修复工具和转换器(包括在验证模块中)。 2 虚拟机床的建模 虚拟机床是随着虚拟制造技术的发展而提出的一个新的研究领域,通过虚拟机床加工系统可以优化加工工艺、预报和检测加工质量,同时还可以优化切削参数、刀具路径,提高机床设备的利用率和生产效率。 在虚拟制造软件的研究领域中,建模的对象大多是局限于某一种或某一系列的机床,这种建模的方法不仅通用性差,工作量大,而且效率不高,影响仿真效果、制造周期和生产成本。针对不同类型机床的通用化建模方法是解决问题的必然出路,下面综合分析机床的结构特点,抽象出其功能模块,总结出通用性的建模方法。 机床结构分析与模块分解:常见的数控机床在结构上主要有床身、立柱、运动轴和工作台等部件,再配合刀具、夹具和一些辅助部件共同组成。其中床身起到支承和承载机床组件的作用;立柱在结构上起到了拉开加工刀具和工件的空间距离,实现运动轴的布局;工作台则用来摆放工件,通过夹具等辅助工具实现工件的定位与夹紧。根据结构的特点可将机床的组件划分为三种类型:通用模块、辅助模块、专用模块。其中,通用模块是指各类机床共有的零/部件,如床身、立柱、工作台等等;辅助模块是指刀具、夹具等机床工具;专用模块

实验课7 全差分运放的仿真方法

CMOS模拟集成电路 实验报告

实验课7 全差分运放的仿真方法 目标: 1、了解全差分运放的各项指标 2、掌握全差分运放各项指标的仿真方法,对全差分运放的各指标进行仿真,给出各指标的 仿真结果。 本次实验课使用的全差分运放 首先分析此电路图,全差分运算放大器是一种具有差分输入,差分输出结构的运算放大器。其相对于单端输出的放大器具有一些优势:因为当前的工艺尺寸在减少,所以供电的电源电压越来越小,所以在供电电压很小的情况下,单端输出很难理想工作,为了电路有很大的信号摆幅,采用类似上图的全差分运算放大器,其主要由主放大器和共模反馈环路组成。 1、开环增益的仿真 得到的仿真图为

1.开环增益:首先开环增益计算方法是低频工作时(<200Hz) ,运放开环放大倍数;通过仿真图截点可知增益为73.3db。 2.增益带宽积:随着频率的增大,A0会开始下降,A0下降至0dB 时的频率即为GBW,所以截取其对应增益为0的点即可得到其增益带宽积为1.03GB。 3.相位裕度:其计算方法为增益为0的时候对应的VP的纵坐标,如图即为-118,则其相位裕度为-118+180=62,而为保证运放工作的稳定性,当增益下降到0dB 时,相位的移动应小于180 度,一般取余量应大于60度,即相位的移动应小于120 度;所以得到的符合要求。 在做以上仿真的时候,关键步骤 在于设定VCMFB,为了得到大的增益,并且使相位裕度符合要求,一直在不停地改变VCMFB,最初只是0.93,0.94,0.95的变化,后来发现增益还是远远不能满足要求,只有精确到小数点后4为到5位才能得到大增益。 2.CMRR 的仿真 分析此题可得共模抑制比定义为差分增益和共模增益的比值,它反映了一个放大器对于共模信号和共模噪声的抑制能力。因此需要仿真共模增益和差分增益。可以利用两个放大器,一 个连成共模放大,一个连成差模放大,

仿真分析步骤

例2:以P214例3.2.1说明仿真过程。 仿真分析步骤(P214例3.2.1) 1、选择菜单:放置(Place)\元件(Component)… 数据库(Database):主数据库(Master Database)组(Group):电源(Sources) 系列(Family):电源(POWER_SOURCES) 元件(Component):直流电压源(DC_POWER),单击OK按钮。 Ctrl+M设置属性后放置(或放置后,双击该元件设置属性): 在参数(value)属性页中V oltage(V)选2V,单击OK(确定)按钮。 同法放置接地:GROUND, 同法放置直流电压源:DC_POWER为4V。 在value属性页中V oltage(RMS)选4V。 同法放置直流电流源:系列(Family):电源(SIGNAL_CURRENT_SOURCES) 元件(Component):DC_CURRENT为3A。 双击该元件,在参数(value)属性页中Current(A)选2V,单击OK(确定)按钮。 同法放置直流电流源:DC_CURRENT为2A。 2、选择菜单:放置(Place)\元件(Component)… 数据库(Database):主数据库(Master Database)组(Group):Basic 系列(Family):RESISTOR 元件(Component):1Ω,单击OK按钮。 Ctrl+M设置属性后放置(或放置后,双击该元件设置属性): 在参数(value)属性页中Resistance选2Ω(Ohm),单击OK(确定)按钮。 按Ctrl+R旋转900。 同法放置其余电阻。 3、选择菜单:放置(Place)\导线(Wire) 连线如图所示,在需要的地方放置节点:放置(Place)\节点(Join)。 4、选择菜单“仿真(Simulate)/分析(Analyses)/ 直流工作点分析(DC Operation Point Analysis)”,弹出图3.2.5 所示分析参数设置对话框,“输出(Output variables)”用于选择所 要分析的结点、电源和电感支路。“电路变量(Variables in circuit)”栏中列出了电路中可以

施工组织设计基本步骤

由于施工工程项目的大小不同,所要求编制组织设计的内容也有所不同,但其方法和步骤基本大同小异,大致可按以下步骤进行。 (1)收集编制依据文件和资料 1)工程项目设计施工图纸。 2)工程项目所要求的施工进度和要求。 3)施工定额、工程概预算及有关技术经济指标。 4)施工中可配备的劳力、材料和机械装备情况。 5)施工现场的自然条件和技术经济资料。 (2)编写工程概况 主要阐述工程的概貌、特征和特点,以及有关要求等。 (3)选择施工方案、确定施工方法 主要确定对工程施工的先后顺序、选择施工机械类型及其合理布置.明确工程施工的流向及流水参数的计算,确定主要项目的施工方法等(总设计还需先做出施工总体布署方案)。 (4)制定施工进度计划 包括对分部分项工程量的计算、绘制进度图表。对进度计划的调整平衡等。 (5)计算施工现场所需要的各种资源需用量及其供应计划(包括各种劳力、材料、机械及其加工预制品等)。 (6)绘制施工平面图 (7)其他 提出对有关工得的质量通病和易于发生安全问题的环节。订出防治措施、制定降低成本(如节约劳力、材料、机具及临时设施费等)的具体措施、超奖减罚等的具体要求和技术经济指标。 施工组织设计编制的程序和方法 一、施工组织设计编制的程序 (一)计算工程量 通常可以利用工程预算中的工程量。工程量计算准确,才能保证劳动力和资源需要量计算的正确和分层分段流水作业的合理组织,故工程必须根据图纸和较为准确的定额资料进行计算。如工程的分层段按流水作业方法施工时,工程量也应相应的分层分段计算。 (二)确定施工方案

如果施工组织总设计已有原则规定,则该项工作的任务就是进一步具体化,否则应全面加以考虑。需要特别加以研究的是主要分部、分项工程的施工方法和施工机械的选择,因为它对整个单位工程的施工具有决定性的作用。具体施工顺序的安排和流水段的划分,也是需要考虑的重点。 (三)组织流水作业,排定施工进度 根据流水作业的基本原理,按照工期要求、工作面的情况、工程结构对分层分段的影响以及其他因素,组织流水作业,决定劳动力和机械的具体需要量以及各工序的作业时间,编制网络计划,并按工作日排出施工进度。 (四)计算各种资源的需要量和确定供应计划 依据采用的劳动定额和工程量及进度可以决定劳动量(以工日为单位)和每日的工人需要量。依据有关定额和工程量及进度,就可以计算确定材料和加工预制品的主要种类和数量及其供应计划。 (五)平衡劳动力、材料物资和施工机械的需要量并修正进度计划 根据对劳动力和材料物资的计算就可绘制出相应的曲线以检查其平衡状况。如果发现有过大的高峰或低谷,即应将进度计划作适当的调整与修改,使其尽可能趋于平衡,以便使劳动力的利用和物资的供应更为合理。 (六)设计施工平面图 施工平面图应使生产要素在空间上的位置合理、互不干扰,能加快施工进度。 二、施工组织设计编制的方法 (一)施工方案的制订原则和内容 1.施工方案制订的原则 (1)制订方案首先必须从实际出发,切实可行,符合现场的实际情况,有实现的可能性。制订方案在资源、技术上提出的要求应该与当时已有的条件或在一定时间能争取到的条件相吻合,否则是不能实现的,因此只有在切实可行的范围内尽量求其先进和快速。 (2)满足合同要求的工期,就是按工期要求投入生产,交付使用,发挥技资效益,这对国民经济的发展具有重大的意义。所以在制订施工方案时,必须保证在竣工时间上符合合同的要求,并能争取提前完成。为此,在施工组织上要统筹安排,均衡施工,在技术上尽可能地采用先进的施工技术、施工工艺、新材料,在管理上采用现代化的管理方法进行动态管理和控制。 (3)确保工程质量和施工安全。工程建设是百年大计,要求质量第一,保证施工安全是社会的要求。因此,在制订方案时应充分考虑工程质量和施工安全,并提出保证工程质量和施工安全的技术组织措施,使方案完全符合技术规范、操作规范和安全规程的要求。 (4)在合同价控制下,尽量降低施工成本,使方案更加经济合理,增加施工生产的盈利。从施工成本的直接费(人工、材料、机具、设备、周转性材料等)和间接费中找出节约的途径,采取措施控制直接消耗,减少非生产人员。 2.施工方案制订的内容 施工方案包括的内容很多,主要有:施工方法的确定、施工机具和设备的选择、施工顺序的安排、科学的施工组织、合理的施工进度、现场的平面布置及各种技术措施。施工方案前两项属于施工技术问题,后四项属于科学施工组织和管理问题。 (1)施工方法的确定:施工方法是施工方案的核心内容,具有决定性作用。施工方法一经确定,机具设备的选择就只能以满足它的要求为基本依据,施工组织也是在这个基础上进行。 (2)施工机械的选择:正确拟订施工方案和选择施工机械是合理组织施工的关键,二者又有相互紧密的联

multisim仿真教程

Multisim电子电路仿真教程: Multisim电子电路仿真教程作者朱彩莲,介绍了一种电子电路仿真软件——Multisim 2001。通过对该软件的学习和使用,读者可以轻松地拥有一个元件设备非常完善的虚拟电子实验室,进而可以完成电子电路的各种实验和设计。 本书介绍了一种电子电路仿真软件——Multisim 2001。通过对该软件的学习和使用,读者可以轻松地拥有一个元件设备非常完善的虚拟电子实验室,进而可以完成电子电路的各种实验和设计。 全书共9章。第l~4章主要介绍Multisim 2001软件的基本功能和操作,主要有Multisim 200l中电路的创建、元件库和元件的使用、虚拟仪器的使用和Multisim基本分析方法;第5~9章主要介绍Mulfisim 200l软件的应用,其中第5~8章分别从电路基础、模拟电子技术、数字电子技术、高频电子技术中选取了若干个典型实验进行:Multisim仿真分析,每个实验给出了实验目的、实验电路、仿真操作步骤和实验结果,第9章是Multisim2001在电子综合设计中的应用实例。 本书可作为高等院校电子技术类课程的软件实验教材,也可作为从事电子电路设计的工程技术人员的参考书。 计算机高效率绿色电源 高速发展的计算机技术带领人类进入了信息社会,同时也促进了电源技术的迅速发展。八十年代,计算机全面采用了开关电源,率先完成计算机电源换代。接着开关电源技术相继进入了电子、电器设备领

域。 计算机技术的发展,提出绿色电脑和绿色电源。绿色电脑泛指对环境无害的个人电脑和相关产品,绿色电源系指与绿色电脑相关的高效省电电源,根据美国环境保护署l992年6月17日“能源之星"计划规定,桌上型个人电脑或相关的外围设备,在睡眠状态下的耗电量若小于30瓦,就符合绿色电脑的要求,提高电源效率是降低电源消耗的根本途径。就目前效率为75%的200瓦开关电源而言,电源自身要消耗50瓦的能源。 通信用高频开关电源 通信业的迅速发展极大的推动了通信电源的发展。高频小型化的开关电源及其技术已成为现代通信供电系统的主流。在通信领域中,通常将整流器称为一次电源,而将直流-直流(DC/DC)变换器称为二次电源。一次电源的作用是将单相或三相交流电网变换成标称值为48V 的直流电源;目前在程控交换机用的一次电源中,传统的相控式稳压电源己被高频开关电源取代,高频开关电源(也称为开关型整流器SMR)通过MOSFET或IGBT的高频工作,开关频率一般控制在50-100kHz范围内,实现高效率和小型化。近几年,开关整流器的功率容量不断扩大,单机容量己从48V/12.5A、48V/20A扩大到48V/200A、48V/400A。 因通信设备中所用集成电路的种类繁多,其电源电压也各不相同,在通信供电系统中采用高功率密度的高频DC-DC隔离电源模块,从中间母线电压(一般为48V直流)变换成所需的各种直流电压,这样可

VERICUT方案

VERICUT6.0.4软件 软件模块结构: 各模块详细功能介绍: (一)验证模块(V erification Module) (1)验证模块具有仿真和验证三轴铣和两轴车削所需的所有功能,用来检测错误,比如: 编程不精确 快速移动时接触材料 错误的走刀路径 与装夹具发生的碰撞 图纸或读图错误 刀具和刀柄的碰撞 CAD/CAM和后处理器错误 按用户要求拟和刀具路径,生成新的G代码 (2)精确的错误检测及报告

经过十几年的开发,VERICUT的错误检测已经非常精确了。错误会以你所选的颜色显示出来,只须点击错误处即可看到相关的刀具路径记录。所有错误都记录在一个结果文件中。你可以在批处理模式下运行仿真功能并设置VERICUT将所有错误的瞬态记录下来。 (3)毛坯及刀具仿真 你可以在VERICUT中定义毛坯模型或从CAD系统输入毛坯模型。VERICUT可为多步或分阶段安装提供多个独立运动的毛坯模型提供支持。 VERICUT可仿真多个同步运动的刀具。它带有一套完整的Ingersoll公司的刀库。如果您所用刀具不在此刀库里,你可以修正或定义你自己的刀具。刀杆可被指定为刀具的“非切削”部分,用来检查碰撞。VERICUT支持凹面或非中心切削端铣刀,例如:硬质合金端铣刀,你可以充分利用设备而无须担心由于错误的摆动损坏工件或切刀。 (4)模型处理及分析 你可以平移、缩放、翻转及旋转切削模型。你可在任何方向作剖面视图,查看那些原本无法看到的区域(例如钻孔的截面)。X-CaliperTM工具能提供详细的测量结果,例如:毛坯厚度、体积、深度、间隙、距离、角度、孔径、转角半径、刀痕间的残留高度等等。 (5)用FastMill TM加速验证 FastMill切削模式可快速处理大型NC程序,对模具制造商特别有用。FastMill可完全控制速度、精度和模型质量。 (6)VERICUT支持绝大多数常用功能,例如: 转轴转动中心 ·预知或三维刀具补偿 ·刀尖的编程和刀具长度补偿 ·主轴转动点编程 ·封闭循环和夹具偏置 ·变量、子程序和宏指令 ·子程序,循环或分支逻辑 你也可以灵活地修改控制系统。使用下拉对话框,将G代码字符和数字定义为逻辑“字

集成运放组成的基本运算电路实验报告

实验报告课程名称:电路与电子技术实验指导老师: 成绩: 实验名称:集成运放组成的基本运算电路实验实验类型:同组学生:一、实验目的和要求(必填)二、实验容和原理(必填) 三、主要仪器设备(必填)四、操作方法和实验步骤 五、实验数据记录和处理六、实验结果与分析(必填) 七、讨论、心得 一、实验目的和要求 1.研究集成运放组成的比例、加法和积分等基本运算电路的功能; 2.掌握集成运算放大电路的三种输入方式。 3.了解集成运算放大器在实际应用时应考虑的一些问题; 4.理解在放大电路中引入负反馈的方法和负反馈对放大电路各项性能指标的影响; 5.学会用集成运算放大器实现波形变换 二、实验容和原理 1.实现两个信号的反相加法运算 2.输入正弦波,示波器观察输入和输出波形,毫伏表测量有效值 3.实现单一信号同相比例运算(选做) 4.输入正弦波,示波器观察输入和输出波形,毫伏表测量有效值,测量闭环传输特性:Vo = f (Vs) 5.实现两个信号的减法(差分)运算 6.输入正弦波,示波器观察输入和输出波形,毫伏表测量有效值 7.实现积分运算(选做) 8.设置输出初态电压等于零;输入接固定直流电压,断开K2,进入积分;用示波器观察输出变化(如何设轴,Y轴和触发方式) 9.波形转换—方波转换成三角波 10.设:Tp为方波半个周期时间;τ=R2C 11.在T p<<τ、T p ≈τ、T p>>τ三种情况下加入方波信号,用示波器观察输出和输入波形,记录线性 三、主要仪器设备 1.集成运算电路实验板;通用运算放大器μA741、电阻电容等元器件; 2.MS8200G型数字多用表;XJ4318型双踪示波器;XJ1631数字函数信号发生器;DF2172B型交流电压表; 型可调式直流稳压稳流电源。

Protel98电路仿真的基本步骤

Protel98电路仿真的基本步骤 黄康才 以基本放大器的时域(暂态)分析为例 1、添加仿真元件库 本例添加的仿真元件库路径在:\Client98\Sch\Library\Symbols.lib 2、放置仿真元器件 方法和绘制Sch原理图一样 3、放置电源或信号源 方法1:用菜单Simulate\Source下的命令 执行菜单命令(方法2:用仿真电源工具条中的命令 、10K+12V的电源和View\Toolbars\Simution Sources命令来切换) 。本例用1mV的正弦信号。 4、设置节点命令;1方法、用Place\Net Label 执行菜单命令(、用画线工具条中的Net命令2方法 )。命令来切换 View\Toolbars\Wrings Tools最好,电路如下: 5、启动仿真 本例进行时域(暂态)模拟,所以执行Simulate\Setup Simulator\Transient 命令,即

6、进行仿真设置。在上一步骤中弹出“时域分析对话框”: 其中: Duration(s):指时域分析结果显示的时间长度。一般显示信号三、四个周期的波形比较合适。 Display(s):指相邻显示点的时间间隔。 Start(s):显示起始时间,缺省为0。 Run:单击该按钮,程序开始进行时域分析。 最后得到仿真结果: 如何设置直流仿真激励源 黄康才 引言: Protel98可在原理图的基础上进行模拟。模拟前要在进行模拟的原理图上放置激励源。直流仿真电源用于产生直流电压和电流。包括VSRC(直流电压)仿真电源和ISRC(直流电流)(如图1所示)。

图1 如图3中,模拟激励源工具栏提供了四种电压的直流源,它们分别是+12V、 -12V、+5V和-5V四种,这四种是最常用到的直流激励源。如果你所放置的直流源的幅度与这些不同,可在属性对话框中修改。 例题: 在原理图上放置一个名称为VCC的+5V直流源。 重点: 属性的设置。 过程: 1、新建一个SCH文件。 2、在新建的原理图上放置一个+5V的直流源。 方法1:用菜单Simulate\Source\+5 Volts DC 命令: 图2 方法2:用仿真电源工具条 图3 中的命令(执行菜单命令View\Toolbars\Simution Sources命令来切换) 。单击+5V工具栏上的图标。 ,Designator3、打开“直流源属性”对话框,将其中的设置成VCC

最详细最好的multisim仿真教程

最详细最好的multisim仿真教程第13章 Multisim模拟电路仿真 本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。

1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、 Multisim7、 Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。 图13.1-1 Multisim10用户界面 菜单栏与Windows应用程序相似,如图13.1-2所示。

运算放大器基本应用

东南大学电工电子实验中心 实验报告 课程名称:电子电路实验 第一次实验 实验名称:运算放大器的基本应用 院(系):吴健雄学院专业:电类强化 姓名:周晓慧学号:61010212 实验室: 105实验组别: 同组人员:无实验时间:2012年03月23日评定成绩:审阅教师:

实验一运算放大器的基本应用 一、实验目的: 1、熟练掌握反相比例、同相比例、加法、减法、积分、微分等电路的设计方法; 2、熟练掌握运算放大电路的故障检查和排除方法,以及增益、幅频特性、传输特性曲线、 带宽的测量方法; 3、了解运算放大器的主要直流参数(输入失调电压、输入偏置电流、输入失调电流、温度 漂移、共模抑制比,开环差模电压增益、差模输入电阻、输出电阻等)、交流参数(增益带宽积、转换速率等)和极限参数(最大差模输入电压、最大共模输入电压、最大输出电流、最大电源电压等)的基本概念; 4、了解运放调零和相位补偿的基本概念; 5、掌握利用运算放大器设计各种运算功能电路的方法及实验测量技能。 二、预习思考: 1、查阅741运放的数据手册,自拟表格记录相关的直流参数、交流参数和极限参数,解释 参数含义。

2、 设计一个反相比例放大器,要求:|A V |=10,Ri>10K Ω,将设计过程记录在预习报告上; (1) 仿真原理图 (2) 参数选择计算 因为要求|A v |=10,即|V 0/V i |= |-R f /R 1|=10,故取R f =10R 1,.又电阻应尽量大些,故取:R 1=10k Ω,Rk=100 k Ω, R L =10 k Ω (3) 仿真结果 图中红色波形表示输入,另一波形为输出,通过仿真可知|V 0/V i |=9.77≈10,仿真正确。 3、 设计一个电路满足运算关系U O = -2U i1 + 3U i2

最全面的Multisim14仿真设计流程指南

1 第2章 Multisim 仿真流程 本节我们用一个案例(模拟小信号放大及数字计数电路)来演示Multisim 仿真大体流程,这个案例来自Multisim 软件自带Samples ,Multsim 也有对应的入门文档(Getting Started ),只要用户安装了Multsim 软件,就会有这样的一个工程在软件里,这样就不需要再四处搜索案例来学习。 执行菜单【File 】→【Open samples…】即可弹出“打开文件”对话框,从中找到“Getting Started ”下的“Getting Started Final ”(Final 为最终完成的仿真文件)打开即可 此案例的难度与复杂度都不高,因为过于复杂的电路会让Multisim 仿真初学者精力过于分散,难以从宏观上把握Multisim 电路仿真设计流程。在这个案例中,我们对于Multisim 软件的使用操作(如调用元器件、连接元器件、编辑参数、运行仿真)都会做尽量详细的描述,以期达到尽快让新手熟悉Multisim 目的,这也是为更简要阐述后续案例打基础。 本书在行文时描述的Multisim 步骤操作,均使用菜单方式,事实上,大多数操作可以直接使用工具栏上的快捷按钮,读者可自行熟悉,执行的结果与菜单操作都是一致的 2.1 电路原理 我们将要完成的仿真电路如下图所示:

2 一切不以原理为基础的仿真都是耍流氓,所以这里我们简要阐述一下原理:以U4-741运算放大器 为核心构成的同相比例放大器,对来自V1的交流信号进行放大(其中,R4为可调电阻,可对放大倍数 进行调整)。放大后的信号,一路送入示波器进行观测,另一路作为时钟脉冲信号送入U2-74LS190N(可 预置同步BCD十进制加减法计数器)进行计数,计数结果输出为十进制,经U3-74LS47N(BCD-七段 数码管译码器)译码后驱动七段数码管进行数字显示。另外U2-74LS190N配置为加法器,同时将行波时 钟输出第13脚(RCO)驱动发光二极管。 左下区域有两个单刀双掷开关进行计数控制,S1接到U2的第4脚(CTEN)计数使能控制引脚, 低有效,当S1切换到接地(GND)时,计数才开始,否则计数停止;S2接到U2的第11脚(LOAD),也是低有效,当S2切换到接地(GND)时,就把预置数(ABCD)赋给(Q A Q B Q C Q D),这里电路配置 的(ABCD)都是接地(GND),因此相当于S2开关为清零功能。 右上区域还有三个旁路电路,左侧的插座与仿真没有关系。 2.1.1 新建仿真文件 1、首先我们打开Multsim软件,如下图所示,默认有一个名为Design1的空白文件已经打开在工作 台(WorkSpace)中。

相关主题