搜档网
当前位置:搜档网 › 使用AVS+MeGUI压制PSP用480P&272P详细教程

使用AVS+MeGUI压制PSP用480P&272P详细教程

使用AVS+MeGUI压制PSP用480P&272P详细教程
使用AVS+MeGUI压制PSP用480P&272P详细教程

本教程所讲内容针对于使用AVS+MeGUI压制PSP用视频的方法

本教程共五部分,分别为:

一、工具篇

二、AVS基础与MeGUI前期设置

三、压制基础及注意事项

四、参数详解

五、批处理批量生成AVS并压制的实现

如果想压制出一部高质量的视频,并且做到体积不大,则必须要选择一个高清片源以及拥有足够的耐心

毕竟只有高质量的源才能转出高质量的成品视频;没有耐心,又谈何微调各个参数的设置、对片源的处理以及漫长的等待呢?

同时,也希望大家能认真地、耐心地仔细看完本教程,只有这样才能真正掌握所讲内容。

如果在亲自实践过程中出现错误,请先认真检查每一步操作是否正确

确认无误后仍有问题的,可以保存好错误信息之后QQ联系我

那么废话不多说了,我们直接进入正题吧

一、工具篇

所需软件及解码器合集

MeGUI、Microsoft .NET Frame work 2.0(或2.0-3.x内某个版本)、NeroAAC、完美解码(解码器合集)、Avisynth 2.5.8、AVSWriter(AVS编写工具,软件作者天神十三煞)

下载地址:

MeGUI(115网盘下载):

免安装版,加压后将文件拷贝至所需存放目录即可,详细设置见下文Microsoft .NET Frame work 2.0

(XP及之前的操作系统需安装,若已安装则不必重复下载安装)(Vista及以后的操作系统不必安装)

NeroAAC

完美解码

安装本解码包前请先卸载任何其他解码包,包括暴风影音等

若已安装其他的完整解码包(需包含Avisynth)则无需下载

Avisynth

AVSWriter

很多人更新MeGUI之后因为MP4BOX的问题悲剧了OTL…

于是这里附上旧版MP4BOX

AVSWriter:

NeroAAC:

第三部分提到的Profiles:

二、AVS脚本基础及MeGUI前期设置

(1)AVS脚本基础

由于MeGUI不支持视频文件的直接加载,必须要借助AVS滤镜来加挂视频文件,同时AVS脚本的编写直接影响到压制成品的基本信息,所以AVS脚本的编写尤为重要,而这一部分又是比较令人头疼的,所以在讲解的同时附上一款AVS 编写工具,方便大家快速编写AVS(工具见论坛附件)

我们用来写AVS的工具就是AVSWriter,已上传至附件供下载

AVSWriter的用法非常简单,见下图

下面是手动编写AVS的方法,对压片感兴趣或者想学习一下的同学可以看一看首先建立一个文本文档,打开文档进行代码编写,最后保存该文档为XXX.avs

(后缀名为avs,即将XXX.txt更名为XXX.avs);

我们先看一个AVS成品样例:

1.LoadPlugin("C:\Program Files (x86)\AviSynth

2.5\plugins\ColorMatrix.DLL")

2.LoadPlugin("D:\软件\MeGUI\AVSWriter\dll\VSFilter.DLL")

3.DirectShowSource("K:\Wait for

1st-PASS\[TGHD][Hayate_the_combat_butler_2nd_Season][01][BDRIP][480P][x

264_AAC].mkv",23.976,convertFPS=True)

4.ConvertToYV12()

5.TextSub("D:\软件\MeGUI\AVSWriter\奋起作品.ssa")

6.TextSub("K:\Wait for

1st-PASS\[TGHD][Hayate_the_combat_butler_2nd_Season][01][BDRIP][480P][x

264_AAC].ass")

https://www.sodocs.net/doc/724713745.html,nczosResize(704,480)

8.AddBorders(8,0,8,0)

复制代码

在该成品中:

LoadPlugin()是AVS滤镜中加载插件的代码,而VSFilter.dll是字幕插件,其中的D:\软件\MeGUI\AVSWriter\dll\是所需插件的具体路径;DirectShowSource()是AVS脚本中的滤镜代码,一般情况下使用该滤镜来加挂视频文件

K:\Wait for 1st-PASS\是视频的路径,

[TGHD][Hayate_the_combat_butler_2nd_Season][01][BDRIP][480P][x264 _AAC].mkv为视频的文件名及扩展名(视频的格式)

23.976是指转换后的视频成品的帧速,一般应设为片源的帧率;ConvertFPS=True防止转换后MP4-AVC声音与画面不同步,VFR源更要注意加上此行;

LanczosResize(704,480)是控制转换后视频成品的分辨率大小的代码,其中宽与高之间用逗号隔开,且宽和高必须为8的倍数

该AVS是压制480P视频用,若想压制272P视频则可改为:LanczosResize(480,272)并去掉AddBorders(8,0,8,0)

TextSub()为加载文本字幕文件(包括srt、ass、ssa等)的加载代码,K:\Wait for 1st-PASS\为字幕所在目录的路径

[TGHD][Hayate_the_combat_butler_2nd_Season][01][BDRIP][480P][x264 _AAC].ass为视频所对应的文本字幕文件;

与此相似的还有VobSub(),VobSub()为sub字幕文件的加载代码,括号内写法与TextSub()中括号内写法相同;

AddBorders()为加黑边语句AddBorders(8,0,8,0)即表示视频左右各加8黑边(因为PSP播放480P的视频时,会首先左右各裁去8个像素,这样我们会有左右各8个像素的画面看不到,所以我们先将画面先Resize成704x480,然后再在画面左右各加8像素的黑边[即:AddBorders(8,0,8,0)] 变为720x480,这样PSP播放时只会裁去那8像素的黑边从而使得播放时画面没有损失)

ColorMatrix(mode="Rec.709->Rec.601");若为1080P/720P转为

480P/272P,请载入视频后加上此行,否则请不要加

关于AVS中其他的各种滤镜的用法本贴不加以介绍,有兴趣的同学可以参考以下两个文档:

AviSynth2.5核心滤镜

AviSynth2.5扩展滤镜

(注意:所有代码的编写必须用英文输入法!编写完后将所编写的AVS文件拖到播放器中播放一下,如果能正常播放就说明编写没有问题,有问题的会在播放器中显示具体哪出了问题,这个大家到实际中自己摸索即可!)

关于加黑边使得视频不变形的问题,参考此贴:

关于视频如何加黑边和裁边教程@TGHD——节奏蓝调

下面提供模板:

(DirectShowSource内的FF指片源的帧率--当然你也可以自定)

片源为16:9

272P

1.LoadPlugin("X:\XXXXXX\XXXXX\XXXX.dll")

2.DirectShowSource("X:\XXXX\XXXX\XXXX.XXX",FF,ConvertFPS=True)

3.ConvertToYV12()

4.VobSub("X:\XXXXXX\XXXXX.sub")或者TextSub("X:\XXXXXX\XXXXXX.xxx")

https://www.sodocs.net/doc/724713745.html,nczosResize(480,272)

复制代码

480P

1.LoadPlugin("X:\XXXXXX\XXXXX\XXXX.dll")

2.DirectShowSource("X:\XXXX\XXXX\XXXX.XXX",FF,ConvertFPS=True)

3.ConvertToYV12()

4.VobSub("X:\XXXXXX\XXXXX.sub")或者TextSub("X:\XXXXXX\XXXXXX.xxx")

https://www.sodocs.net/doc/724713745.html,nczosResize(704,480)

6.AddBorders(8,0,8,0)

复制代码

片源为4:3

272P

1.LoadPlugin("X:\XXXXXX\XXXXX\XXXX.dll")

2.DirectShowSource("X:\XXXX\XXXX\XXXX.XXX",FF,ConvertFPS=True)

3.ConvertToYV12()

4.VobSub("X:\XXXXXX\XXXXX.sub")或者TextSub("X:\XXXXXX\XXXXXX.xxx")

https://www.sodocs.net/doc/724713745.html,nczosResize(360,272)

复制代码

480P

1.LoadPlugin("X:\XXXXXX\XXXXX\XXXX.dll")

2.DirectShowSource("X:\XXXX\XXXX\XXXX.XXX",FF,ConvertFPS=True)

3.ConvertToYV12()

4.VobSub("X:\XXXXXX\XXXXX.sub")或者TextSub("X:\XXXXXX\XXXXXX.xxx")

https://www.sodocs.net/doc/724713745.html,nczosResize(640,480)

复制代码

AVS脚本基础部分到此结束

(2)MeGUI前期设置

将下载到的压缩包解压,之后将全部文件拷贝到你所需存放MeGUI的目录,之后运行MeGUI.exe

首先我们先来更新MeGUI,因为此时它只是一个空壳而已,还不能用于压片

之后会弹出这个界面:需更新的全部勾选后点Update

弹出这个时右键,选择Check All 之后点Import

更新完毕~,点Yes重启MeGUI

接下来我们关联NeroAAC,解压下载的NeroDigitalAudio.zip,之后进入NeroDigitalAudio\win32文件夹,找到neroAacEnc.exe

复制这个文件,打开MeGUI的存放目录,进入tools文件夹,后新建一个文件

夹名为“NeroDigitalAudio”,进入这个新建的文件夹,将neroAacEnc.exe 复制进去。

随后打开MeGUI,上方菜单中选择:Options-settings

并按照图片上所注明的进行关联

至此NeroAAC与MeGUI关联完毕,前期准备结束

三、压制基础及注意事项

关于此段,如果只是为了放到PSP里看看而已的话可以直接使用我提供的Profiles(下载地址见二楼,即工具篇),将解压出来的后缀为xml的文件放到MeGUI目录下\allprofiles\x264文件夹中即可

想具体学一下的可以看看图片上所附文字以及第四、第五部分

进入MeGUI主界面,在Video encoding和Audio中的Encoder settings选项中分别选择X264和Nero AAC在File format和Extension分别选择RAWAVC和M4A

设置好后我们点击Video encoding中的Config开始进行基本视频压制参数设置

打开视频部分的Config后可见如下界面:

我们首先勾选Show Advanced Settings开启高级选项

之后注意看红色的Modes区域的下拉列表,有多重编码模式,这里简单介绍一下:

1pass即仅编码一次,2pass则为编码两次,3、4…pass以此类推

ABR平均码率压制,一次成形。码率的分配来自即时验算。通常不应该使用这

个模式。

Const Quantizer(qp)固定量化值输出。每一类帧采用相同的量化值来量化(停用弹性量化),使得全片的质量大体相近。速度较CRF模式更快一点,但个人不推荐这个模式。

2pass - 1st/2nd手动进行2pass压制。1st时可以用turbo以加快压制速度。automated 2pass自动2pass压制。一次设置就能生成2个任务,比手动更方便些。

3pass与上面同理,只不过多增加了一次编码,3pass对码率的分配更优化,但是效果不大,而转换时间会增加很多,所以一般不推荐。

Const Quality(crf)固定速率系数,对于体积没有要求的压制,对于相同的视觉品质,CRF比QP输出的档案更小,如果只是1pass的话推荐选用这个模式。

若是QP/CRF模式下,Modes后的Quantizer/Quality即为量化值,该数值越小画质越高、码率越高、文件体积越大,默认值为23

片源好的话可以把该值加大,但最好不要低于15或超过28

若是码率模式下,Mode后的Bitrate即为码率,码率越高画质越高、文件体积越大,默认值为700

关于这个值,272P的话动画400-600即可,电影600-800。(动态画面很多的加高一点码率,追求质量可加高一些码率)

480P的话动画700-1000即可,电影1100-1300。(动态画面很多的加高一点码率,追求质量可加高一些码率)

另注明:如果你用的参数很变态(即很高的参数,压制速度极低)或者比较好的片源(比如BD,HDTV),这个值可以减小。比如极限参数可以做到CRF18下,272P动画仅200-300K码率

Modes下方有Prestes区域,是一个滑块,由左到右压制速度越来越低,压制质量越来越高,码率控制越来越佳,自行根据电脑配置及个人能容忍的速度范围而定

(注意每次调整该滑块后将Frame-Type选项卡下的Number of Reference Frame的值改为0、1、2或3,该值定义见下文)

以下是在PSP上播放所必须注意的设置:

我们首先切换到Misc选项

Custom Command Line中填上:“--b-pyramid none”【若为480P则还需加上“--sar 40:33”,注意两参数之间必须加空格】

转入AVC Level和AVC Profile区域,若压制的是仅PSP或PC使用的272P 或480P,应选择Level 3,Main Profile

若想要压制PSP与IPhone均兼容的272P则必须选择Level 1.3,Baseline Profile,否则IPhone无法播放

关于多线程以及快速一次编码设定参考图片上的说明即可

接下来我们切换到Frame-Type选项

此处需注意的是Number of Reference Frames不可超过3、B-Pyramid必须设为Disabled,否则PSP不能播放

B帧设置最好位于3-8之间(静态画面多则高,反之则设低),太高了极影响速度

关于P-frame Weighted Prediction,建议关闭,否则在部分色彩过渡处PSP 可能会花屏(例子:《Angel Beats》这部动漫的OP出现Angel Beats字样时)

其他的注意看图片即可

接下来切换到Rate Control选项

这里没什么好设置的,注意看一下图片里的说明即可

然后切换到Analysis选项,这里要说明的内容比较多

对着图片认真看看这里然后设置吧

这里要说一点的是关于Macroblocks区域下P4x4的勾选问题,许多教程中指出这里不应该勾选(Level3以上都不应该勾选)

但是实际应用中,打开p4x4以及B帧并不会造成问题,详细原因我们到第四部分再解释

当然了,如果想保险一点,还是可以不勾选p4x4的

全部设定完之后点OK保存,然后回到MeGUI主界面,点Audio部分的Config

音频部分比较简单,照着来就可以了,设置好后点击OK保存

至此MeGUI基本设置完毕,可以开始压制啦~

回到MeGUI主界面,我们分别添加好视频和音频文件,之后点击AutoEncode (不加音频文件的话PSP是不能播放的,大家要注意哦)

ModelSim使用的一点心得体会

ModelSim使用的一点心得- - 1、至今还没有弄明白为什么要用ModelSim,因为看波形Quartus II自带的工具就可以了啊。 2、我刚刚接触modelsim,我想大多数菜鸟跟我一样,看过如何使用ModelSim的介绍,说句实话,那些介绍写的都太过简单,仿佛大家都不屑写上一些比较“弱智”的步骤,恰恰就是这些看似累赘的步走,难为我好久。 教程上都写道,modelsim的简单使用方法如下:建立库- 影射库到物理目录- 编译代码- 启动仿真。首先建立库就叫我头晕。库的概念用在这儿实在不合适,把我吓坏了,也就没心思看下一步了。在我看来,教程应该这么写: <1> 建立一个目录modelsimStudy。用任何文本编辑器编辑一个简单的例子程序,比如计数器counter.vhd。有clk、ena、reset输入,cnt作为输出。 <2> 打开Modelsim,首先create project,工程名随意取了,比如命名为test,目录设在modelsimStudy下,默认的库work不变(这样就不用管什么建立库之类的东西了)。然后add existing file,把counter.vhd加入当前工程。 <3> 编译这个文件,通过之后,work下面会出现counter这个实体。然后选中它,右键,simulate(左键双击也可)。 <4> ModelSim有很多窗口(新手就怕这个),一开始只要选择view下面的objects 和wave窗口就行了。旧版的signal窗口在6.0的版本改名为Objects(这个我是摸索了好久才发现的,是不是太笨了?)。wave窗口刚打开时是空的,需要在objects窗口的add -> wave -> signals in region。这时,wave上就有clk\ ena \ reset等信号了。 <5> 我们给clk来个输入激励,在object窗口中选中clk,右键选clock,给它定义个时钟。

modelsim完美教程

准备事项 1.ModelSim试用版下载 2.范例程序下载(史丹佛大学一门课的期末专题Implememtation of Viterbi Decoder:constrain length K=3, code rate R=1/2, register-exchange) 整个project共含7个Verilog程序:system.v (top-level) |-- clkgen.v |-- chip_core.v |-- controller.v |-- spu.v |-- acs4.v |-- acs1.v (或是另外一个Verilog的简单例子,可以从C:\ SynaptiCAD\ Examples\ TutorialFiles\ VeriLoggerBasicVerilo gSimulation\ add4.v and add4test.v) (或是另外一个VHDL的简单例子,可以从C:\ Modeltech_5.7e\ examples\ adder.vhd and testadder.vhd) ModelSim PE /LE /SE 差别在哪? 本篇文章内容主要在教导软件使用,以Verilog程序为范例。假设各位读者已经熟悉Verilog,废话不多说,让我们马上来见识一下ModelSim ... 快速上手四部曲:建立Project、引进HDL Files、Compile、模拟(Simulate/Loading and Run) 1.建立一个新的Project 1-1 第一次执行程序时,可以从[开始] \ [程序集] \ ModelSim SE \ ModelSim;或是执行ModelSim在桌面的快捷方式

ModelSim-Altera_6.5仿真入门教程

平台 软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 1 设计流程 使用ModelSim仿真的基本流程为: 图1.1 使用ModelSim仿真的基本流程 2 开始 2.1 新建工程 打开ModelSim后,其画面如图2.1所示。

图2.1 ModelSim画面 1. 选择File>New>Preject创建一个新工程。打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。一般情况下,设定Default Library Name为work。指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。该对话框如图 2.2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。

图2.2 创建工程的对话框 2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D:/led_flow。 图2.3 输入工程信息 当单击OK按钮后,在主体窗口的下方将出现Project标签,如图2.4所示。 图2.4 Project标签

3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。 图2.5 在工程中,添加新项目 2.2 在工程中,添加新项目 在Add Items to the Project对话框中,包括以下选项: ?Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL或文本文件?Add Existing File——添加一个已存在的文件 ?Create Simulation——创建指定源文件和仿真选项的仿真配置 ?Create New Folder——创建一个新的组织文件夹 1. 单击Create New File。打开图 2.6所示窗口。 图2.6 创建工程文件夹 2. 输入文件名称:LED_FLOW,然后选择文件类型为Verilog。

菜鸟学压制视频+字幕(超详细)

菜鸟也要学字幕 我也是新学习如何压缩视频和添加字幕,找了半天各种专业数据,专业单词让人头痛脑热,还好花了3,4天摸清了一些门道。想来想去还是把自己摸索的一些东西贡献给广大小白,我只介绍最基础的方法和工具,老鸟完全可以绕道,想学专业制作软件的也不用看了,如果想5分钟之内上手的话,不妨往下看。 视频压制,压缩就是通过专业的工具改变视频的编码方式通过对视频质量不明显的降低来获得更小的文件体积,以便于在不同的设备上观看。比如将20G的好莱坞3D大片压缩成1G的高清视频,或者在视频中压入自己或找到的字幕。专业的视频编辑软件有许多AE,AP 以及MEGUI等等,没有一定的基础知识很难上手,介于半专业的软件会声会影恐怕也不是咱们小白能拿来用的,更不要说全英文界面了。下面我就介绍几种在网上很常见也很简单的方法来实现2个功能;视频格式转换和字幕添加。 在最前面我首先说一点关于字幕的,字幕可以分为2种,外挂字幕,就是在你的视频文件外有一个和视频文件同名的字幕文件,视频播放的时候播放器自动把字幕加到视频下面,视频和字幕是分开的,把视频移到别处播放就不会有字幕显示了,大多数播放器还支持在线字幕,当你联网播放视频时,他会自动到网上寻找对应的字幕用来显示。内嵌字幕,就是字幕是视频图画的一部分,二者不能分开,这种往往需要把字幕文件和视频放到一起用软件压一压。当然最基础的是如何找字幕或者自己制作字幕,后面再说。 第一种,播放器自带转换功能(以暴风影音为例,QQ影音和迅雷看看等等同类) 首先我们要打开我们的要编辑的文件。

在打开文件后,在视频播放的右上角有四个小字,要注意。单击“字”便是字幕 在载入和浏览的地方可以选择你想要加入这个视频的字幕文件,当然前提是你已经准备好了字幕文件。下面的按钮你可以选择字幕的大小和字体。

ModelSim软件仿真步骤教程

使用ModelSim模擬驗證HDL code 1.在模擬前先準備好我們要模擬的電路檔案(Verilog HDL,TestBench,…) 2. 打開ModelSim,新建一個Project,鍵入Project name 按OK。此處我們的library name 為default library name “work”不必更改。 3.然後再加入我們所要模擬的電路檔案(若尚未準備,可開啟新檔案再將code 鍵入)選Add Existing File,將我們已編輯好的檔案加入。 將我們所需要的檔案加入,按Browse選擇我們所需檔案count.v,

count_test.vt),按下OK。 再將先前所開啟的增加檔案的視窗關閉,按close。 4.按下compile all。

Compile成功沒有顯示出錯誤訊息, 則開始模擬波形 5.按下Simulation, 選擇檔案所在的Library (work), 點選TestBench的Module Name t_Gap_finder 按OK 6.承接上步驟將會跳出以下視窗,若要將所有訊號加入波型中觀察則選擇在 testbench的module name: count_tst按滑鼠右鍵選擇→ Add → Add to Wave。

7.在波型畫面按下Run All開始模擬 跑完後會跳出下面視窗選擇否則可觀察模擬波形,若按下是則會將ModelSim關閉。

8.觀察波形圖是否與功能符合,若與設計不符則修改設計並重複執行Step 4到 Step 8 Testbench語法 `timescale 1 ps/ 1 ps 前面的1ps代表程式中最小的時間單位 後面的1ps代表運算的精準度

QUARTUSⅡ10波形仿真(ModelSim)入门教程

QUARTUSⅡ10仿真(ModelSim)入门教程平台 软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 1 设计流程 使用ModelSim仿真的基本流程为: 图1.1 使用ModelSim仿真的基本流程 2 开始 2.1 新建工程 打开ModelSim后,其画面如图2.1所示。 图2.1 ModelSim画面

1. 选择File>New>Preject创建一个新工程。打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。一般情况下,设定Default Library Name为work。指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。该对话框如图 2. 2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。 图2.2 创建工程的对话框 2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D:/led_flow。 图2.3 输入工程信息 当单击OK按钮后,在主体窗口的下方将出现Create Project标签,如图2.4所示。 图2.4 Project标签 3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。 图2.5 在工程中,添加新项目 2.2 在工程中,添加新项目 在Add Items to the Project对话框中,包括以下选项:

?Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL或文本文件 ?Add Existing File——添加一个已存在的文件 ?Create Simulation——创建指定源文件和仿真选项的仿真配置 ?Create New Folder——创建一个新的组织文件夹 1. 单击Create New File。打开图 2.6所示窗口。 图2.6 创建工程文件夹 2. 输入文件名称:LED_FLOW,然后选择文件类型为Verilog。 图2.7 输入工程文件信息 3. 单击OK,关闭本对话框。新的工程文件将会在工程窗口显示。单击Close,以关闭Add Items to the Project。 图2.8 新的设计文件LED_FLOW.v 4. 双击打开LED_FLOW.v文件(注意:若是Verilog文件已经关联了其他的文本编辑器,则双击后在关联的文本编辑器中打开)。 图2.9 LED_FLOW代码输入窗口 在LED_FLOW.v输入下面的测试平台代码:

meGUI详细教程(四)实战篇

meGUI详细教程(四)实战篇 以stash教学光盘的抓取、转换为例: stash教学光盘下载后,解压,得到一个扩展名为iso的文件,我们启动daemon 对这个文件进行虚拟,这样就如同在真实的光驱中运行一样。 选中相应的iso文件,点击“打开” 这时我的电脑/可移动存储设备中就多了一个虚拟光驱了,光驱名称同光盘名。

接着运行DVD Dcrypter对刚才虚拟光驱中的内容进行rip,也就是将几个VOB文件合并拷到硬盘上,方便后面的操作。 我们在前面的教程中将DVD Decrypter模式默认设为IFO模式,一般我们在制作时通常也是选择这种模式,这种模式比较方便,请各位朋友尽量先选用此模式。 但这个教程有点特殊,我们可从上图中看出,软件自动选择的内容是PGC59[00:07:07],也就是说只有7分钟,从长度上分析根本不是主要影片内容,这时,我们就换种方式,将DVD Decrypter模式改为File模式,这样它选的内容就是我们想要的正确的内容了。

设置好Destination目标路径后,点击下面的带绿箭头的大按钮,软件就开始拷贝内容到硬盘了。 文件拷贝中……

全部文件成功rip到硬盘。 在你刚才指定的rip目录中可以看到拷贝过来的内容,已经合并成一个大文件了。 下面进入第二步:生成d2v文件,运行DGindex,如果你的桌面上没有,可以在安装目录megui\tools\dgindex\中找到DGIndex.exe文件,最好建个快捷方式到桌面,方便以后的使用。 DGindex运行后,点击File菜单的open,

找到刚才rip到硬盘上的内容,就是从虚拟光驱中拷贝到硬盘上的那个最大的VOB文件,如果前面设置正确,这里应该只有一个最大的VOB文件。点击OK. 点击过OK后,立即就会出现预览界面。

MeGUI详细教程

原帖请见https://www.sodocs.net/doc/724713745.html,/f?kz=1102144362 Chapter 1:视频文件基本原理。 视频文件的起源追溯至图片的起源。大家都知道,光的3原色是红绿蓝(Red,Green,Blue),任何一种颜色都可以通过三者不同比例调(a,b,c)节后,再控制灰度值(alpha,非必要)达到。储存一个像素需要(a,b,c,alpha)4个数。(题外话:电脑里面图形除了像素还有顶点,顶点用坐标(x,y,z,time)四个数。如果再为某些标量留一个空间,那么比较理想的处理模式是一个周期处理5个浮点数字。这就是为什么AMD的流处理器是5个一组,从R600到Turks)。 16bit,按rgba,有5550,5650(人眼对绿色最敏感),4444。24bit即rgb每个分量都是8位(似乎也有RGBA各6666)。32bit在24bit基础上带有一个alpha通道。24bit基本超过人眼分辨极限,照理说不需要更高的32bit,但是由于计算机2进制不喜欢24更喜欢32,32bit还是被广泛应用。最基础的bmp就是详细纪律每个像素。不过这样很费空间(一个24bit 540p的BMP文件要1.48MB,960*540*24/88/1024/1024)。好在很早一种图片格式应运而生并被广泛使用:jpeg。jpeg基于24bit存储,但是通过某种算法以及其微小的细节损失为代价极大的压缩图片。在Photoshop软件中以JPEG格式储存时,提供11级压缩级别,以0—10级表示。其中0级压缩比最高,图像品质最差。即使采用细节几乎无损的10 级质量保存时,压缩比也可达5:1。经过多次比较,采用第8级压缩为存储空间与图像质量兼得的最佳比例。以BMP格式保存时得到4.28MB图像文件,在采用JPG格式保存时,其文件仅为178KB,压缩比达到24:1。 jpeg的诞生使得图片存储变成小case,接下来就是视频技术了。最早的视频就是对于每一帧的图片记录一个jpeg。不过这样做的弊端显而易见:文件太大了。以上文中178KB为例,假如一部100分钟的电影,24fps(24帧,即每秒钟24张图片),平均每张图片178KB,那么需要的空间是:100*60*60*24*1788/1024/1024/1024=14.4TB。。。不解释了。 一个很明显的优化思路就是:一般前后两帧的图片相差无几,可不可以对于场景变化时,先用一个完整的帧记录开始的情况,然后后续几帧只几率和开始帧不同的地方呢?答案是肯定的。于是对于每一小段场景类似的地方(这一段术语上叫做GOP),第一帧就叫做I帧,后续记录与I帧不同的地方的帧就叫做P帧。P帧由在它前面的P帧或者I帧预测而来,占用极少的空间,从而使得视频的大小降低。H264和RMVB还引入了B帧的概念。B帧不仅参照前面的帧,还能参照后面的帧,B帧要求前后图像差距甚小,压缩效率相对于P帧更为高效。 H264是一种视频标准,实现这种视屏编码的编码器很多,最著名的,也是我们要采用的,就是X264.exe X264.exe在衡量视频质量上面,有两个参数:Quantizer & Quality。以x264.exe为例,Quantizer 和Quality都是介于0-61之间的浮点数(以前版本是0-51,Media Coder好像用了0-100)Quantizer更强调体积方面,是用严谨的数学公式推导出来的衡量图片质量的参数。0为无损(每一帧记录一张24bit bmp),61为最压缩(有兴趣可以试试)。一般平均下来21-28)是可以接受的。 Quality更强调质量方面。它是X264.exe从人类视觉方面考虑,估计图片看上去好与不好。。。(高智商啊,汗颜)它无法准确表示视频大小,但是却更能体现视频清晰与否。一般18-26

modelsim新手入门仿真教程

Modelsim新手入门仿真教程 1.打开modelsim软件,新建一个library。 2.library命名 3.新建一个工程。

3.出现下面界面,点击close。 4.新建一个verilog文件 键入主程序。下面以二分之一分频器为例。

文件代码: module half_clk(reset,clk_in,clk_out); input clk_in,reset; output clk_out; reg clk_out; always@(negedge clk_in) begin if(!reset) clk_out=0; else clk_out=~clk_out; end endmodule 编辑完成后,点击保存。

文件名要与module后面的名称相同。 5.再新建一个测试文件,步骤同上面新建的主程序文件,文件名后缀改为.vt 程序代码如下: `timescale 1ns/100ps `define clk_cycle 50 module top; reg clk,reset; wire clk_out; always #`clk_cycle clk=~clk; initial

begin clk=0; reset=1; #10 reset=0; #110 reset=1; #100000 $stop; end half_clk m0( .reset(reset), .clk_in(clk), .clk_out(clk_out)); Endmodule 6.添加文件,编译文件 先右键点击左边空白处,选择add to project→existing File 选择刚刚新建的两个文件。按ctrl键可以同时选择两个,选择打开,下一步点击ok

最完整的MeGUI x264制作BDRIP入门教程

最完整的M eGUI x264制作BDRIP入门教程 https://www.sodocs.net/doc/724713745.html, 本文适用于想学或初学MeGUI x264的网友。如有何错误或不足之处,请指正。 一、所需软件及其安装 1. 系统:Windows XP、Vista或Windows 7 如果是Windows XP,需要安装Windows Media Player 11或12(解码VC-1视频必备)。同时Windows XP还需要Windows XP Microsoft .NET Framework 2.0 版可再发行组件包。Vista或Windows 7都已支持,不需要另外安装这个两个。 2. 终极解码(或完美解码) 暴风等其他全能播放器也可以,但不推荐,终极解码(或完美解码)指定解码器更方便,这一点在压片中有用。另外不能同时安装几个全能播放器,以免冲突发生意外,一个就可以了。这些软件都带有了Avisynth,可以在安装目录下看到。 3.Avisynth(可省略) 更新:现在新版本的megui升级时已经会自动下载并安装AviSynth,在C:\Program Files\megui\tools目录下,不需要自己另外安装。 这是一个独立的工具,用来为视频编辑编写脚本时用。现在主要使用的版本是AviSynth2.5.7。Avisynth安装后,meGUI会下载Avisynth所需的一系列插件,你无需自己下载。 如果则已经安装了终极解码(或完美解码),则可以不用也不推荐另外安装Avisynth,终极解码(或完美解码)的目录下已经包含有Avisynth。 4. 高品质Nero AAC 编码器 这个是在压制时要用到的一种高级声音编码,但因为版权问题,所以meGUI的升级不提供相关下载。你可以访问下列地址,从网站下下载: https://www.sodocs.net/doc/724713745.html,/cht/technologies-aac-codec.html 也可以直接使用下面的地址: ftp://https://www.sodocs.net/doc/724713745.html,/tools/NeroDigitalAudio.zip 5. MeGUI 先安装Microsoft .NET Framework 2.0(如果是xp系统的话),然后安装终极解码(或完美解码),最后再安装MeGUI。 安装MeGUI后,会提示重启MeGUI,重启后提示要升级,升级的过程可能需要些时间。升级到最近后阶段会依次弹出3个窗口,把那些选项全部勾选上,然后点Import。 初次安装后,升级是必须的,只有升级后,才会有相关组件使用。升级好以后,还需在MeGUI中设置一下。在MeGUI的主界面依次进入Options → Settings,在弹出的对话框中切换到Program Paths。再仔细看下面,还有Video、Audio、Muxer、Others几个小标签,这里需要指明相关组件的安装路径。不过大部分在MeGUI升级过程中就自动设置好了。进入Others标签,如果先安装了终极解码(或完美解码),安装Megui时会自动找到AviSynth 2.5路径,如下图1所示。如果自己另外安装了AviSynth,则要自己设置一下avisynth plugins 安装目录。

ModelSim新手使用手册

ModelSim最基本的操作,初次使用ModelSim的同学,可以看看,相互学习。 无论学哪种语言,我都希望有个IDE来帮助我创建一个工程,管理工程里的文件,能够检查我编写代码的语法错误,能够编译运行出现结果,看看和预期的结果有没有出入,对于Verilog语言,我用过Altera的Quartus II,Xilinx的ISE,还有ModelSim(我用的是Altera 官网的ModelSim_Altera),甚至MAXPlus II,不过感觉这软件太老了,建议还是前三者吧。 学Verilog,找一本好书很重要,参考网友的建议,我也买了一本夏宇闻老师的《Verilog 数字系统设计教程》,用Quartus II来编写代码,个人觉得它的界面比ISE和ModelSim友好,我一般用它编写代码综合后自动生成testbench,然后可以直接调用ModelSim仿真,真的很方便,但学着学着,发现夏老师书里的例子很多都是不可综合的,比如那些系统命令,导致很多现象都发现不了,偶然间我直接打开了ModelSim,打开了软件自带的英文文档,步骤是:Help ->PDF Documentation->Tutorial如下所示: 打开文档的一部分目录: 往下读发现其实ModelSim可以直接创建工程,并仿真的。下面以奇偶校验为例叙述其使用过程(当然前提是你在Altera官网下载了ModelSim并正确安装了)。 1.打开软件,新建一个工程,并保存到自定义的目录中(最好别含中文路径) 2.点击Project,弹出窗口问是否关闭当前工程,点击Yes,接着又弹出如下窗口

我个人的习惯是把Project Name和Default Library Name写成一样,自己定义Project Location。又弹出如下窗口: 3.点击Close(我的版本不能Create New File,其实新建好了工程一样可以新建.v文件),然后点击屏幕下方的Project标签: 如果一开始不是如上图所示的界面,那么可以点击如下图所示红色标记的按键变成上图界面:

图文[教程] AVS+MEGUI+字幕制作+音轨工具教程【最为详实的教程 手把手教会你压片】NVCG@老虎

很多朋友都希望看到关于AVS+MEGUI+字幕的详实教程,而如今很多教程写得略为简单,不能起到很好的引导作用,老虎因此尽量编写得简单易懂,配合大量图片说明,希望能给大家一个直观易懂的教程。 压片并不难,只要自己用心学习,用心钻研,就能有所突破。这里有两个基本问题,新人需要明白: 1、压制出来片子的画质与你的片源有直接的关系,可以说是最重要的。1080P片源肯定优于720P片源,但是码率更高,压制过程会更加耗时,所以压片者自己要有个很好的考量和选择。 2、压片和你电脑配置也有很大的关系,直接决定你可以开启的设置等级和你的压片耗时,如果你是I7,那么请开启MEGUI中最高设置来压制吧。 老虎选用的工具都是自己现在在使用的,MEGUI版本为0.3.4版本(师傅传给我的,用到现在,压制过上千部影视作品,出错率极低),其它更新的版本如MEGUI0.3.5和2050等版本,在原理上是互通的,这里老虎不再赘述。 ————————————————————————————分割线——————

———————————————————前期安装 a、建议将所有有关压制方面的软件,视频,字幕,图片等都放于同一个硬盘目录,同一个文件夹内,这样便于查找 b、请全部下载安装以下应用(所有软件合集包下载在教程最下面) 1、framework 2.0 (WIN7系统免安装) 2、KMPlayer播放器(完美解码播放器)完美解码因20110330版后不支持avs写入,只能用旧版本,特此注明(感谢tly600的宝贵意见),射手播放器(由于很多字幕来源于射手网,用射手播放器能比较方便地查找字幕) 3、AviSynth、AVSWriter、MEGUI、mediacoder、MKVGUI、MKVextract、Yamb MP4Tools(大脚丫) 4、字幕类:SrtEdit、Pobsub、火鸟字幕合并器、metpad(字幕教程在2楼) 注:安装完毕KMPlayer播放器后,需要进行设置 1、将你电脑中所有的视频关联到KMP播放器(设为默认播放器) 2、如果下载的是老虎提供的版本,那么请按照老虎提供的图片设置成相同即可,更高版本的设置大同小异

modelsim详细使用教程(一看就会)

Modelsim详细使用方法 很多的modelsim教程中都讲得很丰富,但忽视了对整个仿真过程的清晰解读,而且都是拿counter范例举例子,有些小白就不会迁移了。这里我们着眼于能顺利的跑通一个自己写的程序,一步一步的讲解,如果你是一个初学者,这再适合你不过了,虽然貌似字写得比较多,那是因为写得相当的详细,一看就会啦O(∩_∩)O~ 一、建立工程 1、在建立工程(project)前,先建立一个工作库(library),一般将这个library命名为 work。尤其是第一次运行modelsim时,是没有这个“work”的。但我们的project 一般都是在这个work下面工作的,所以有必要先建立这个work。 File→new→library 点击library后会弹出一个对话框,问是否要创建work,点击OK。就能看见work.

2、如果在library中有work,就不必执行上一步骤了,直接新建工程。 File→new→project 会弹出 在Project Name中写入工程的名字,这里我们写一个二分频器,所以命名half_clk,然后点击OK。 会出现

由于我们是要仿一个自己写的程序,所以这里我们选择Create New File。 在File Name中写入文件名(这里的file name和刚刚建立的project name可以一致也可以不一致)。注意Add file as type 要选择成Verilog(默认的是VHDL),然后OK。 发现屏幕中间的那个对话框没有自己消失,我们需要手动关闭它,点close。 并且在project中出现了一个half_clk.V的文件,这个就是我们刚刚新建的那个file。 这样工程就建立完毕了。 二、写代码: 1、写主程序:双击half_clk.v文件会出现程序编辑区,在这个区间里写好自己 的程序,这里我们写一个简单的二分频的代码: module half_clk_dai( clk_in, rst, clk_out ); input clk_in; input rst;

最全的MeGUI压制高清视频教程

MeGUI是一个制作x264视频的优秀工具 严格来讲它只是一个包含了多种媒体工具集的GUI(Graphical User Interface ),即图形用户界面 但MeGUI将网络中流行的绝大多数免费开源的媒体工具整合到一起,形成了一个拥有良好操作手感而且功能强大的影音制作工具,大大降低了rip各种大码率高清视频源的困难和繁琐度,因此被广泛使用 使用MeGUI的前期准备 在使用MeGUI之前,我们要保证机器中至少拥有以下几个软件 1》Microsoft .NET framework 2.0或以上(Vista 的话需要 3.5以上)。这是微软出的一个免费.NET框架包,MeGUI是基于这个框架包运行的 2》Avisynth 2.5。不知道该怎么解释,字面意思是avi合成器,总之就是支持avs脚本的软件,MeGUI是需要通过avs脚本来对视频进行处理的 3》各种编码器和媒体工具(安装完美解码

或者终极解码可以省去繁琐的组件安装步骤,其中也包括2》中的Avisynth) 将上面几种必须的组件安装完成之后就可以使用MeGUI了 启动MeGUI之后如果不是最新版本则会跳出提示升级的窗口,操作下拉列表Options-》Update 选择需要升级的组件,点右下角Update 如果提示错误无法升级,请检查是否正确安装了Avisynth,然后按照Options-》Settings-》Program Paths-》other 操作,在avisynth plugins 中填入之前安装的Avisynth 2.5的plugins文件夹路径,然后再升级 升级成功之后重启,就是最新版MeGUI和组件了 另外,若需要Nero AAC 编码解码器,则必须自己下载,因为nero这个铁公鸡的版权问题,所以MeGUI并没有提供 下载之后放到megui/tools/neroaacenc 目录中,如果没有就请自己新建一个

modelsim使用教程6.0

Modelsim 6.0 使用教程 1. Modelsim简介 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF Documentation->Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim就可以用,它假设使用者对当前操作的前期准备工作都已经很熟悉,所以初学者往往不知道如何做当前操作的前期准备。 2.安装 同许多其他软件一样,Modelsim SE同样需要合法的License,通常我们用Kengen产生license.dat。 ⑴.解压安装工具包开始安装,安装时选择Full product安装。当出现Install Hardware Security Key Driver时选择否。当出现Add Modelsim To Path选 择是。出现Modelsim License Wizard时选择Close。 ⑵.在C盘根目录新建一个文件夹flexlm,用Keygen产生一个License.dat,然后 复制到该文件夹下。 ⑶.修改系统的环境变量。右键点击桌面我的电脑图标,属性->高级->环境变量-> (系统变量)新建。按下图所示内容填写,变量值内如果已经有别的路径了, 请用“;”将其与要填的路径分开。LM_LICENSE_FILE = c:\flexlm\license.dat

meGUI详细教程(一)(二)合集

meGUI详细教程(一)下载篇 meGUI是高品质X264视频制作的主要工具,在DOOM9使用最广泛。它是一个免费工具集的GUI 版本,但功能强大,足够胜任我们所需的工作了。 当初设计时,它就是被定义为降低DVDRIP难度的一个有效的工具。 首先请你从下面的官方地址下载meGUI:https://www.sodocs.net/doc/724713745.html,/projects/megui/ 目前最新的版本是: megui 0.3.3 发行日期是:2010-02-13 下载好后进行安装,但它只是安装了最基本的一些功能,形象的说只是一个框架,你需要运行集成在内部菜单中的updater,下载所需工具和插件,全部下载,大概是35M。 meGUI在使用时,还要其它的工具或环境才能正常的运行, 1、Microsoft .NET framework 2.0 这是微软出的一个免费工具。详细信息如下: File Name: dotnetfx.exe Version: 2.0 Date Published: 3/22/2006 Language: English Download Size: 22.4 MB Estimated Download Time: 55 min 56K Dial-up (56K)DSL/Cable (256K)DSL/Cable (7 68K)T1 (1.5M) 55 min 下载地址:https://www.sodocs.net/doc/724713745.html,/downloads/d...displaylang=en 2、Avisynth 这是一个独立的工具,用来在编写脚本时视频编辑用。 目前最新版本是AviSynth 2.5.7 发行日期为(2007-01-07 01:19) Download Size: 2.8 MB 官方网站:https://www.sodocs.net/doc/724713745.html,/ 下载地址:https://www.sodocs.net/doc/724713745.html,/project/showf...group_id=57023 Avisynth安装后,meGUI会下载Avisynth所需的一系列插件,你无需自己下载。 3、还要下载一个免费的DVD复制工具:DVD Decrypter 目前最新版本是DVD Decrypter 3.5.4.0 发行日期:2005.3.21 文件大小:878 KB 下载地址:https://www.sodocs.net/doc/724713745.html,/detail/1011845169/1 4、回放/播放工具我推荐使用韩国的万能播放器KMPlayer,目前最新版本:KMPlayer 2.9.3.12 14[20070125正式版] 下载地址:https://www.sodocs.net/doc/724713745.html,/forums/showthread.php?t=113 内部已经带相当多的音频、视频解码器,无需安装其它的解码器就可以胜任播放工作。 5、使用Nero AAC 编码解码器转换您的音频文件! Nero AAC即高音质的MPEG-4 & 3GPP 音频编码解码器

Modelsim的仿真教程

ModelSim的仿真 1.仿真的分类 仿真过程是正确实现设计的关键环节,用来验证设计者的设计思想是否正确,及在设计实现过程中各种分布参数引入后,其设计的功能是否依然正确无误。仿真主要分为功能仿真和时序仿真。功能仿真是在设计输入后进行;时序仿真是在逻辑综合后或布局布线后进行。1). 功能仿真( 前仿真) 功能仿真是指在一个设计中,在设计实现前对所创建的逻辑进行的验证其功能是否正确的过程。布局布线以前的仿真都称作功能仿真,它包括综合前仿真(Pre-Synthesis Simulation )和综合后仿真(Post-Synthesis Simulation )。综合前仿真主要针对基于原理框图的设计; 综合后仿真既适合原理图设计, 也适合基于HDL 语言的设计。 2). 时序仿真(后仿真) 时序仿真使用布局布线后器件给出的模块和连线的延时信息,在最坏的情况下对电路的行为作出实际地估价。时序仿真使用的仿真器和功能仿真使用的仿真器是相同的,所需的流程和激励也是相同的;惟一的差别是为时序仿真加载到仿真器的设计包括基于实际布局布线设计的最坏情况的布局布线延时,并且在仿真结果波形图中,时序仿真后的信号加载了时延,而功能仿真没有。 后仿真也称为时序仿真或者布局布线后仿真,是指电路已经映射到特定的工艺环境以后,综合考虑电路的路径延迟与门延迟的影响,验证电路能否在一定时序条件下满足设计构想的过程,是否存在时序违规。其输入文件为从布局布线结果中抽象出来的门级网表、Testbench 和扩展名为SDO 或SDF 的标准时延文件。SDO 或SDF 的标准时延文件不仅包含门延迟,还包括实际布线延迟,能较好地反映芯片的实际工作情况。一般来说后仿真是必选的,检查设计时序与实际的FPGA 运行情况是否一致,确保设计的可靠性和稳定性。2.仿真的作用 1).设计出能工作的电路:因此功能仿真不是一个孤立的过程,其和综合、时序分析等形成一个反馈工作过程,只有这个过程收敛,各个环节才有意义。而孤立的功能仿真通过是没有意义的,如果在时序分析过程中发现时序不满足需要更改代码,则功能仿真必须从新进行。因此正确的工作流程是:

modelsim使用入门(VHDL_

modelsim使用实例(VHDL) 标签: modelsim仿真testbench FPGA 看了几天的modelsim以及如何在quartusII中调用,浏览了N个网页,尝试了N次,......终于初步完成。下面是入门部分介绍。 modelsim是专业的仿真软件,仿真运行速度比同类的其他仿真软件都要快很多。Quartus 自己都不再做仿真器了,普遍使用modelsim,自有其缘由。 这里用的是modelsim-altera(6.6d)版本,与quartus II 11.0搭配。据说Altera公司推出的Quartus软件不同版本对应不同的modelsim,相互之间不兼容。某些功能齐全的modelsim版本可以进行两种语言的混合编程, modelsim-altera只能支持一种语言进行编程(VHDL或者Verilog选其一)。 1、新建工程 打开modelsim-->file-->new-->project 新建工程,输入工程名,文件存放路径后(不可直接放在某盘下,必须放入文件夹中),进入下一步。 在主体窗口下方出现project标签。见下图。

2、新建文件 --create new file:输入名称div10,选择语言VHDL --关闭对话框 新的工程文件将会在工程窗口出现。 3、写入源程序(VHDL) 双击div10.vhd,打开文本编辑器。 实例代码如下(一个十分频的VHDL源代码):library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity div10 is --实体要与工程名相同 port(clk :in std_logic;

meGUI详细教程(四)

meGUI详细教程(四)实战篇 我借助下载的stash教学光盘为例讲下全套操作过程。 stash教学光盘下载后,解压,得到一个扩展名为iso的文件,我们启动daemon对这个文件进行虚拟,这样就如同在真实的光驱中运行一样。 选中相应的iso文件,点击“打开” 这时我的电脑/可移动存储设备中就多了一个虚拟光驱了,光驱名称同光盘名。 接着运行DVD Dcrypter对刚才虚拟光驱中的内容进行rip,也就是将几个VOB文件合并拷到硬盘上,方便后面的操作。

我们在前面的教程中将DVD Decrypter模式默认设为IFO模式,一般我们在制作时通常也是选择这种模式,这种模式比较方便,请各位朋友尽量先选用此模式。 但这个教程有点特殊,我们可从上图中看出,软件自动选择的内容是PGC59[00:07:07],也就是说只有7分钟,从长度上分析根本不是主要影片内容,这时,我们就换种方式,将DVD Decrypter模式改为File模式,这样它选的内容就是我们想要的正确的内容了。 设置好Destination目标路径后,点击下面的带绿箭头的大按钮,软件就开始拷贝内容到硬盘了。

文件拷贝中…… 全部文件成功rip到硬盘。 在你刚才指定的rip目录中可以看到拷贝过来的内容,已经合并成一个大文件了。

下面进入第二步:生成d2v文件,运行DGindex,如果你的桌面上没有,可以在安装目录megui\tools\dgindex\中找到DGIndex.exe文件,最好建个快捷方式到桌面,方便以后的使用。 DGindex运行后,点击File菜单的open, 找到刚才rip到硬盘上的内容,就是从虚拟光驱中拷贝到硬盘上的那个最大的VOB文件,如果前面设置正确,这里应该只有一个最大的VOB文件。点击OK. 点击过OK后,立即就会出现预览界面

Megui字幕特效压制教程

Megui字幕特效压制教程 MeGUl是一款集多种编码器于一身的GUl界面软件,它使各种需要用命令行的EXE程序统一到一个图形界面, 使用起来十分方便。它的出现,成为众多进行视频处理的必备工具,特别在进行一些高清视频及特效字幕的压制时,常常选择MegUi作为压制工具。 运行条件: 1. NET FrameWork 2.0 或者以上版本,缺少它MegUi将无法正常运行; 2. AViSynth ,这是一个功能强大并且基于avs脚本文件的视频处理与帧服务软件,由于MegUi需要首先导入AVS 脚本然后才能进行后面的处理,因此需要AViSynth的支持。 3. 解码包:常见的解码包有:K-Lite Mega Codec Pack、完美解码、终极解码等。安装解码包的主要目的是为了 让软件能够更好的识别和播放某些编码的视频,否则MegUi以及系统将无法正常播放某些特殊编码的视频。 启动MeGUI2112界面显示如下: 从界面中我们可以看到软件主要分为两部分:视频和音频;视频部分要求导入AVS脚本,因此我们可以简单地 用一个公式表示为:AVS+视频+音频=容器格式(封装格式),其中MegUi为我们提供的容器格式”主要有三

MKV ”以及“ MP4 ",因此我们需要先编写AVS脚本,将其导入MegUi之后才 AVS脚本文件几个基本代码: 打开一个编写好的AVS脚本文件,如下图所示: Ed?Plus - [D:\31176\TD4100N 2ΛJ File Edit View SearCh DOCiJment ProjeCt TOCk ?rowser WindCW 旦芒IP Γ- ] fi1 LJ o TD410CN SaP_¥VWj FOr HelP I PreSS FI In 1 LoadPlugi n('D?MeGUI?tools?ffms?ffms2.dll") :加载视频插件; LoadPlugi n('D?MeGUI?VSFilter.dll") 加载字幕插件 FFVideoSource('D?31176?TD4100N SaP_ww.avi", threads=1) :加载视频文件函数; TeXtSUb("D:\31176\TD4100N sap_ww.ass"): #de in terlace :非 逐行; 加载字幕文件函 数; #CroP :不裁边; #resize :尺寸保持不变; #de noise :无需降噪;常用代码: LanCZOSReSiZe(960,540):视频尺寸大小为960x540 ; ReSamPIeAUdio(48000):重新格式化音频采样率为48000 ; FadeIn0(50)/FadeoUt0(50):这是视频开头和结尾淡入淡岀的代码; AddBOrderS(0,56,0,56):为上下各加黑边56 ; AmPIify(2);是增加左右声道音量;Trim(240,480):是截取片段; AVS脚本代码示例: 1. 改变画面大小的处理函数: LoadPIUgi n("d:\VSFilter.dll") 种,分别为“ RAWAVC ” 能进行后面音视频参数的设置 5.3 p _ VUVJ r a Vi. a ? s

相关主题