搜档网
当前位置:搜档网 › 计数、译码、显示电路实验汇编

计数、译码、显示电路实验汇编

计数、译码、显示电路实验汇编
计数、译码、显示电路实验汇编

实验五计数、译码、显示电路

一、实验目的

掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。

二、实验原理

计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。

1.计数器:计数器是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器和异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器和可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。

常用计数器均有典型产品,不须自

己设计,只要合理选用即可。

本实验选用四位二进制同步计数

器74LS161做计数器,该计数器外加适

当的反馈电路可以构成十六进制以内

的任意进制计数器。图5-1是它的逻辑

图。这个电路除了具有二进制加法计数

功能外,还具有预置数、清零、保持的

功能。图中LD是预置数控制端,D、C、

R是清零

B、A是预置数据输入端,

D

端,EP、ET是计数器使能控制端,RCO

是进位信号输出端,它的主要功能有:

①异步清零功能

R=0(输出低电平),则输出QD

D

QCQBQA=0000,除EP、ET信号外,与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。

②同步并行置数功能

R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、在

D

C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。

③保持功能

R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数在

D

据保持状态,与CP及D、C、B、A输入无关。

④计数功能

R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数方在

D

式为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表5-l所示。

表5-1 74LS161的功能表

本实验所需计数器是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。

用反馈的方法构成十进制计数器一般有两种形式,即和反馈置数法。反馈置零法是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,清除端

D

如图5-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反馈置数法是利用预置数端LD构成,把计数器输入端D1D2D2D3全部接地,当计数

器计到1001(十进制数9)时,利用Q D Q A反馈线使预置端LD=0,则当第十个CP到来时,计数器输出端等于输入端电平,即:Q D=Q C=Q B=Q A=0,这样可以克服反馈置零法的缺点。利用预置端LD构成的计数器电路如图5-2(b)所示。

以上介绍的是一片计数器工作的情况。在实际应用中,往往需要用多片计数器构成多位计数器。下面介绍计数器的级联方法,级联可分串行进位和并行进位两种。二位十进制串行进位计数器的级联电路如图5-3所示,其缺点是速度较慢。二位十进制并行进位(也称超前进位)计数器的级联电路如图5-4所示,后者的进位速度比前者大大提高。

2.译码器:这里所说的译码器是将二进制码译成十进制数字符的器件。实验中选用的CD4511是一个BCD码七段译码器,并兼有驱动功能,内部没有限流电阻,与数码管相连接时,需要在每段输出接上限流电阻,见图5-5(a)所示。表5-2是CD4511功能表。

3.显示器:显示器采用七段发光二极管显示器,它可直接显示出译码器输出的十进制数。七段发光显示器有共阳接法和共阴接法两种:共阳接法就是把发光二极管的阳极都接在一个公共点(+5V),配套的译码器为74LS46,74LS47等;共阴接法则相反,它是把发光二极管的阴极都连在一起(接地),配套的译码器为CD4511,74LS48等。七段显示器的外引线排列图如图5-5(b)所示。

表5-2 CD4511功能表

十进制或功能

输入BI输出字

型LE LT D C B A a b c d e f g

0 1 2 3 4 5 6 7 8 9 0

1

1

1

1

1

1

1

1

1

1

0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

1 0 0 0

1 0 0 1

1

1

1

1

1

1

1

1

1

1

1 1 1 1 1 1 0

0 1 1 0 0 0 0

1 1 0 1 1 0 1

1 1 1 1 0 0 1

0 1 1 0 0 1 1

1 0 1 1 0 1 1

0 0 1 1 1 1 1

1 1 1 0 0 0 0

1 1 1 1 1 1 1

1 1 1 1 0 1 1

消隐锁定灯测试×

1

×

1

1

××××

××××

××××

1

×

0 0 0 0 0 0 0

锁定在上一个LE=0时

1 1 1 1 1 1 1

三、实验内容

1.测试74LS161的逻辑功能(计数、清除、置数、使能及进位等)。CP选用手动单次脉冲或1Hz正方波。输出接发光二极管LED显示。

2.按图5-6组装十进制计数器,并接入译码显示电路。时钟选择1Hz正方波。观察电路的自动计数、译码、显示过程。

3.(选做)将1Hz方波改为1kHz方波,用示波器分别测十进制计数器Q D、Q C、Q B、Q A的输出波形以及CP的波形,比较它们的时序关系。

4.(选做)设计并组装六十进制计数器。要求当十位计数器数字为0时,显示器无显示。

四、实验仪器

1.电路实验箱

2.数字万用表;示波器;

3.计数器:74LS161×2

译码器:CD4511×2

七段译码器显示电路

数字显示译码器 在数字系统中,常需要将数字、文字或符号等直观地显示出来。能够显示数字、文字或符号的器件称为显示器。数字电路中的数字量都是以一定的代码形式出现的,所以这些数字量要先经过译码,才能送到显示器去显示。这种能把数字量翻译成数字显示器所能识别的信号的译码器为数字显示译码器。 数字显示器有多种类型。按显示方式分,有字型重叠式、点阵式、分段式等。按发光物质分,有半导体显示器,又称发光二极管(LED)显示器、荧光显示器、液晶显示器、气体放电管显示器等。目前应用较广泛的是由发光二极管构成的七段数字显示器。 ①七段数字显示器 图6-53为发光二极管构成的七段数字显示器。它是将七个发光二极管(小数点也是一个发光二极管,共八个)按一定的方式排列起来,七段a、b、c、d、e、f、g(小数点DP)各对应一个发光二极管,利用不同发光段的组合,显示不同的阿拉伯数字。 (a)(b) 图6-53 七段数字显示器 (a)数字显示器(b)显示的数字 根据七个发光二极管的连接形式不同,七段数字显示器分为共阴极和共阳极接法两种。 (a)(b) 图6-54 七段数字显示器的内部接法 (a)共阳极(b)共阴极 图6-54(a)是共阳极接法,它是将七个发光二极管的阳极连在一起作公共端,使用时要接高电平。发光二极管的阴极经过限流电阻接到输出低电平有效的七段译码器相应的输出端。 图6-54(b)所示是共阴极接法,它是将七个发光二极管的阴极连在一起作公共端,使用时要接低电平。发光二极管的阳极经过限流电阻接到输出高电平有效的七段译码器相应的输出端。 改变限流电阻的阻值,可改变发光二极管电流的大小,从而控制显示器的发

实验五 计数、译码、显示电路

. 实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器和异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器和可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1是它的逻辑 图。这个电路除了具有二进制加法计数 功能外,还具有预置数、清零、保持的 功能。图中LD是预置数控制端,D、C、 R是清零 B、A是预置数据输入端, D 端,EP、ET是计数器使能控制端,RCO 是进位信号输出端,它的主要功能有: ①异步清零功能 R=0(输出低电平),则输出QD 若 D

QCQBQA=0000,除EP、ET信号外,与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、在 D C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数在 D 据保持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数方在 D 式为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表5-l所示。 表5-1 74LS161的功能表 本实验所需计数器是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即和反馈置数法。反馈置零法是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,清除端 D 如图5-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反馈置数法是利用预置数端LD构成,把计数器输入端D1D2D2D3全部接地,当计数

计数、译码、显示电路综合应用

实验十二计数、译码、显示综合应用 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器和异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器和可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1是它的逻辑 图。这个电路除了具有二进制加法计数 功能外,还具有预置数、清零、保持的 功能。图中LD是预置数控制端,D、C、 R是清零 B、A是预置数据输入端, D 端,EP、ET是计数器使能控制端,RCO 是进位信号输出端,它的主要功能有: ①异步清零功能 R=0(输出低电平),则输出QD 若 D QCQBQA=0000,除EP、ET信号外, 与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、在 D

C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数在 D 据保持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数在 D 方式为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表10-l所示。 表10-1 74LS161的功能表 本实验所需计数器是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即和反馈置数法。反馈置零法是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,清除端 D 如图10-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反馈置数法是利用预置数端LD构成,把计数器输入端D1D2D2D3全部接地,当计数器计到1001(十进制数9)时,利用Q D Q A反馈线使预置端LD=0,则当第十个CP到来时,计数器输出端等于输入端电平,即:Q D=Q C=Q B=Q A=0,这样可以克服反馈置零法的缺点。利用预置端LD构成的计数器电路如图10-2(b)所示。

数电实验四——译码显示电路

一、实验题目 实验四译码显示电路 二、实验目的 掌握中规模集成译码器的逻辑功能和使用方法 熟悉数码管的使用 三、实验分析 1.按表(二)测试74LS194. 分析: 各工作状态下,Q0、Q1、Q2、Q3的输出: 置零Q0 = Q1 = Q2 = Q3 = 0 保持Q0n+1 = Q0n Q1n+1 = Q1n Q2n+1 = Q2n Q3n+2 = Q3n

右移Q0n+1 = D SR Q1n+1 = Q0n Q2n+1 = Q1n Q3n+1 = Q2n 左移Q0n+1 = Q1n Q1n+1 = Q2n Q2n+1 =Q3n Q3n+1 = D SL 并行送数Q0 = D0Q1= D1Q2 = D2Q3 = D3 通过对74LS194的测试,知道该芯片是上升沿触发的。 2.按图(五)实现四节拍顺序脉冲发生器 分析: Q0Q1Q2Q3S0S1K 0 1 1 1 1 1 0 1 0 1 1 1 0 0 1 1 0 1 1 0 0 1 1 1 0 1 0 1 0 1 1 1 1 1 0 ……… … … … … … … … … … … … Q0,Q1,Q2,Q3 一直进行: 0111->1011->1101->1110->0111->……

的循环。 3.按图(4)实现四位扫描译码显示器,采用内容(2)顺序脉冲作为Ds信号,8421BCD码用逻辑模拟开关输入。自行设计伪码灭灯电路,使得正常输入BCD码时输入为“1”伪码输入时灭灯。 分析: 伪码灭灯电路真值表 A3A2A1A0BI/RBO 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1

数电实验报告实验六计数译码显示综合实验整理版.docx

数电实验报告 实验六 计数、译码、显示综合实验 姓名: 学号: 班级: 院系: 指导老师: 2016年

目录 实验目的: (22) 实验器件与仪器: (22) 实验原理: (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 实验内容: (44) 实验过程: (55) 实验总结: (66) 实验: 实验目的: 1.熟悉中规模集成电路计数器的功能及应用。 2.熟悉中规模集成电路译码器的功能及应用。 3.熟悉LED数码管及显示电路的工作原理。 4.学会综合测试的方法。 实验器件与仪器: 1.实验箱、万用表、示波器。

2.74LS160、74LS48、74LS20 实验原理: 对于计数规模小的计数器,我们使用集成触发器来设计计数器,但是如果计数器的模数达到十六以上(如六十进制)时,如果还是用集成触发器来设计的话,电路就比较复杂了。在这种情况下,我们可以用集成计数器来构成任意进制计数器。利用集成计数器的清零端和置数端实现归零,从而构成按自然态序进行计数的N进制计数器的方法。 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N-1的二进制代码。 2)求归零逻辑,即求同步清零端或置数控制端信号的逻辑表达式 3)画连线图 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N得二进制代码 2)求归零逻辑,即求异步清零端或置数控制端信号的逻辑表达式

3)画连线图 在集成计数器中,清零、置数均采用同步方法的有74LS163;均采用异步方法的有74LS193、74LS197、74LS192;清零采用异步方法、置数采用同步方法的有74LS161、74LS160;有的只具备异步清零功能,如CC4520、74LS190、74LS191;74LS90则具有异步清零和异步置9功能。 实验内容: 1.用集成计数器74LS160分别组成8421码十进制和六进制计数器, 然后连接成一个60进制计数器(6进制为高位,10进制位低位)。 使用实验箱上的LED译码显示电路显示(注意高低位顺序及最高位的处理)。用函数发生器的低频连续脉冲(调节频率为1-2Hz)作为计数器的计数脉冲。通过数码管观察计数、译码、显示电路的功能是否正确。 2.设计一个时间计数器,具有分钟和秒计时功能的计数器。

实验三 译码显示电路

专业计算机类实验人 实验题目:译码显示电路2015年 5 月 9日 一、实验目的: 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验仪器及器件: 1、数字电路实验箱、数字万用表、示波器。 2、器件:74LS48X1, 74LS194X1, 74LS73X1, 74LS00X2 三、实验预习: 1、复习有关译码显示原理。 2、根据实验任务,画出所需的实验线路及记录表格。 四、实验原理: 1、数码显示译码器: (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(C)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0--9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

专业计算机类实验人 实验题目:译码显示电路2015年 5 月 9日 (2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用'74LS48 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。 图(二)为74LS48引脚排列。其中A、B、C、D - BCD码输入端,a、b、c、 d、e、f、g——译码输出端,输出“1"有效,用来驱动共阴极LED数码管。 错误!未找到引用源。- 灯测试输入端,错误!未找到引用源。=“0”时,译码输出全为“1” 错误!未找到引用源。- 灭零输入端,错误!未找到引用源。=“0”时,不显示多余的零。 错误!未找到引用源。/错误!未找到引用源。-作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 2、扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED(共阴)的发光段并连接至译码器的相应端,把数据输入的相应权端与系统输出端相连,把各位选通端反相后接相应LED的公共端。f(A)使数据输入是伪码(8421BCD中的1010-1111)时使f(A)=0,伪码灭灯。接译码器的灭灯I B端,使不显示伪码。

七段显示译码器7448

七段显示译码器7448功能,引脚图及应用电路 数字显示译码器是驱动显示器的核心部件,它可以将输入代码转换成相应的数字显示代码,并在数码管上显示出来。图8-51所示为七段显示译码器7448的引脚图,输入A3 、A2 、A1和A0接收四位二进制码,输出a~g为高电平有效,可直接驱动共阴极显示器,三个辅助控制端、、,以增强器件的功能,扩大器件应用。7448的真 值表如表8-20所示。 从功能表可以看出,对输入代码0000,译码条件是:灯测试输入和动态灭零输入同时等于1,而对其他输入代码则仅要求=1,这时候,译码器各段a~g输出的电平是由输入代码决定的,并且满 足显示字形的要求。 图8-51 7448引脚图

表8-20 7448功能表 灯测试输入低电平有效。当= 0时,无论其他输入端是什么状态,所有输出a~g均为1,显示字形8。该输入端常用于检查7448 本身及显示器的好坏。 动态灭零输入低电平有效。当=1,,且输入代码时,输出a ~g均为低电平,即与0000码相应的字形0不显示,故称“灭零”。 利用=1与= 0,可以实现某一位数码的“消隐”。 灭灯输入/动态灭零输出是特殊控制端,既可作输入,又可作输出。当作输入使用,且= 0时,无论其他输入端是什么电平,所有输出a~g均为0,字形熄灭。作为输出使用时,受和控制,只

有当,,且输入代码时,,其他情况下。该端主要用于显示多 位数字时多个译码器之间的连接。 【例8-13】七段显示器构成两位数字译码显示电路如图8-52所示。当输入8421BCD码时,试分析两个显示器分别显示的数码范围。 图8-52 两位数字译码显示电路解:图8-52所示的电路中,两片7448的均接高电平。由于7448(1)的,所以,当它的输入代码为0000时,满足灭零条件,显示器(1)无字形显示。7448(2)的,所以,当它的输入代码为0000时,仍能正常显示,显示器(2)显示0。而对其他输入代码,由于,译码器都可 以输出相应的电平驱动显示器。 根据上述分析可知,当输入8421BCD码时,显示器(1)显示的数码范围为1~9,显示器(2)显示的数码范围为0~9。 工作电压:5V

实验四译码显示电路

中山大学 学院:数据科学与计算机学院 实验题目:译码显示电路 一、实验目的 1. 掌握中规模集成译码器的逻辑功能和使用方法 2. 熟悉数码管的使用 二、实验仪器及器件 74LS48, 74LS194 , 74LS73,74LS00,74LS197, 74LS138, 以及各种门电路 三、实验原理 1. 数码显示译码器 BCD码七段译码驱动器-----74LS48,用来驱动共阴极 LED数码管。 2. 扫描式显示 利用数码管的余辉效应和人眼的视觉暂留效应,虽然在某一时刻只有一个数码管在显示,但人眼看到的是多个数码管“同时”被点亮的效果。由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码 3. 四节拍发生器 扫描显示要求数码管按先后顺序显示。这就要求如图所示的选通信号。通常该类型的信号称为节拍信号。

图中 74LS194 为移位寄存器。它具有左移、右移, Cr 反 S1 S0 工作状态 0 X X 置零 1 0 0 保持 1 0 1 右移 1 1 0 左移 1 1 1 并行送数 并行送数、保持及清除等五项功能。其引脚图如图(六) 所示。其中Cr 为清除端,CP 为时钟输入端,S 0、S 1为状 态控制端,D SR 为右移数据串行输入端,D SL 为左移数据 输入端,D 0、D 1、D 2、D 3位并行数据输入端,QA 、QB 、 QC 、QD 为数据输出端。 节拍发生器工作开始时,必须首先进行清零。当 Cr 负脉冲过后 QA 、QB 、 QC 、QD 全为零。JK 触发器Q =1,因而 S 1=S 0=1,实现并行送数。 当第一个脉冲的上升沿到达后,置入 0111,CP 下降沿到达后Q =0,即 S 1=0, S 0=1,实现右移功能。在 CP 作用下输出依次为 1011,1101,1110,第四个 CP 下降沿到达后又使 Q=1,实现第二个循环。 四、实验内容 1.使用 74LS194,74LS73,74LS48,基础逻辑门和两个四联装的共阴极数码管, 实现本人学号的显示。(本人学号为 15352316) 解题思路: 通过 74LS194作为四节拍顺序脉冲发生器,输出分别连入两块 4位数码 管的位选端,做到控制数码管从第 1位到第 4位扫描的同时在第 5位到第 8 位扫描。确定了显示位置后,要产生与节拍发生器具有相同变换速度的两个 显示内容,分别作为前 4位学号和后 4位学号的段选段输入,则两个 74LS194 需要连接到同一个信号发生器(CLOCK),或者是只用一个 74LS194来实现。 而 74LS48的输入端 DCBA 对应到共阴极数码管的每个十进制数相对应的二

计数、译码、显示电路实验

创作编号: BG7531400019813488897SX 创作者:别如克* 实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器是一种中规模 集成电路,其种类有很多。如果按照触 发器翻转的次序分类,可分为同步计数 器和异步计数器两种;如果按照计数数 字的增减可分为加法计数器、减法计数 器和可逆计数器三种;如果按照计数器 进位规律又可分为二进制计数器、十进 制计数器、可编程N进制计数器等多 种。 常用计数器均有典型产品,不须自

己设计,只要合理选用即可。 本实验选用四位二进制同步计数器74LS161做计数器,该计数器外加适当的反馈电路可以构成十六进制以内的任意进制计数器。图5-1是它的逻辑图。这个电路除了具有二进制加法计数功能外,还具 有预置数、清零、保持的功能。图中LD是预置数控制端,D、C、B、 R是清零端,EP、ET是计数器使能控制端,A是预置数据输入端, D RCO是进位信号输出端,它的主要功能有: ①异步清零功能 R=0(输出低电平),则输出QDQCQBQA=0000,除EP、ET 若 D 信号外,与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QD 在 D QCQBQA同时接收D、C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低在 D 电平,计数器将处于数据保持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入在 D 脉冲进行计数,计数方式为二进制加法,状态变化在QDQCQBQ =0000~1111间循环。74LS161的功能表详见表5-l所示。 A 表5-1 74LS161的功能表

实验四 译码显示电路

实验四译码显示电路 The Standardization Office was revised on the afternoon of December 13, 2020

实验四译码显示电路 一、实验目的: 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验仪器及器件: 三、实验步骤及结果 1、按表(二)测试74LS1940 测试结果略 2、实现四节拍顺序脉冲发生器 (1)实验电路图及74LS194功能表 图(一)表(二)74LS194功能表

(2)实验结果 (3)实验波形(Q3 Q2 Q1 Q0分别代表Q D Q C Q B Q A) Q3 Q3与Q2 Q3与Q1 Q3与Q0

3、按图(四)实现四位扫描译码显示电路。采用内容(2)顺序脉冲作为D s 信号。8421BCD 码用逻辑模拟开关输入。自行设计伪码灭灯电路,使正常输入BCD 码时输出为“1",伪码输入时灭灯。 (1) 设计伪码灭灯电路及其电路图 f(A)=(A3(A2’A1’)’)’ (2) 四位扫描译码显示电路原理图 A1A0 A3A2 00 01 11 10 00 1 1 1 1 01 1 1 1 1 11 0 0 0 0 10 1 1

(3)实验预期结果(LED显示)(由于实验箱损坏,实验时无法得到正确的LED数码管的显示数字,这里仅用预期结果表示) 4、自行设计电路在4联装LED数码管同时显示出4个不同的0-7的数字。 使用74LS48上的L1S(Gi)’(i=1,2,3,4)端口。要使第i个显示器显示i,接逻辑电路Yi,使得Yi只有在Ai表示i时为0,其他时候均为1,将之接为L1S(Gj)’=0(j=i,0表示有效),L1S(Gj)’=1(j≠i) 电路图如下:

数电实验--译码显示电路

译码显示电路 二、实验仪器及器件: 1、数字电路实验箱、数字万用表、示波器。 2、器件:74LS48X1, 74LS194X1, 74LS73X1, 74LS00X2 三、实验预习: 1、复习有关译码显示原理。 2、根据实验任务,画出所需的实验线路及记录表格。 四、实验原理: 1、数码显示译码器: (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(C)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0--9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴), 段译码/驱动器。驱动共阴极LED数码管。 图(二)为74LS48引脚排列。其中A、B、C、D - BCD 码输入端,a、b、c、d、e、f、g——译码输出端,输出 “1"有效,用来驱动共阴极LED数码管。 - 灯测试输入端,=“0”时,译码输出全为“1” - 灭零输入端,=“0”时,不显示多余的零。 作为输出端使用时,灭零输出端。 2、扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出

的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码,如图(三)所示。图中的Ds称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED(共阴)的发光段并连接至译码器的相应端,把数据输入的相应权端与系统输出端相连,把各位选通端反相后接相应LED的公共端。f(A)使数据输入是伪码(8421BCD中的1010-1111)时使f(A)=0,伪码灭灯。接译码器的灭灯I B端,使不显示伪码。 3、四节拍发生器 扫描显示要求数码管按先后顺序显示。这就要求如图(三)所示的选通信号。通常该类型的信号称为节拍信号。如果使用的数码管是共阴极型,则选通信号是图(三)的反相。如图(五)所示就是这种节拍信号发生器。

计数器实验报告

实验4 计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。 图5-9-1 CC40192引脚排列及逻辑符号 图中LD—置数端 CP U—加计数端 CP D—减计数端 CO—非同步进位输出端BO—非同步借位输出端 D0、D1、D2、D3—计数器输入端 Q0、Q1、Q2、Q3—数据输出端 CR—清除端

CC40192的功能如表5-9-1,说明如下: 表5-9-1 输 入 输 出 CR LD CP U CP D D 3 D 2 D 1 D 0 Q 3 Q 2 Q 1 Q 0 1 × × × × × × × 0 0 0 0 0 0 × × d c b a d c b a 0 1 ↑ 1 × × × × 加 计 数 0 1 1 ↑ × × × × 减 计 数 当清除端CR 为高电平“1”时,计数器直接清零;CR 置低电平则执行其它功能。 当CR 为低电平,置数端LD 也为低电平时,数据直接从置数端D 0、D 1、D 2、D 3 置入计数器。 当CR 为低电平,LD 为高电平时,执行计数功能。执行加计数时,减计数端CP D 接高电平,计数脉冲由CP U 输入;在计数脉冲上升沿进行 8421 码十进制加法计数。执行减计数时,加计数端CP U 接高电平,计数脉冲由减计数端CP D 输入,表5-9-2为8421码十进制加、减计数器的状态转换表。 表5-9-2 加法计数 输入脉冲数 0 1 2 3 4 5 6 7 8 9 输出 Q 3 0 0 0 0 0 0 0 0 1 1 Q 2 1 1 1 1 Q 1 0 0 1 1 0 0 1 1 0 0 Q 0 1 0 1 1 1 1 减计数 2、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图5-9-2是由CC40192利用进位输出CO 控制高一位的CP U 端构成的加数级联图。

BCD七段数码管显示译码器电路

BCD七段数码管显示译码器电路 7段数码管又分共阴和共阳两种显示方式。如果把7段数码管的每一段都等效成发光二极管的正负两个极,那共阴就是把abcdefg这7个发光二极管的负极连接在一起并接地;它们的7个正极接到7段译码驱动电路74LS48的相对应的驱动端上(也是abcdefg)!此时若显示数字1,那么译码驱动电路输出段bc为高电平,其他段扫描输出端为低电平,以此类推。如果7段数码管是共阳显示电路,那就需要选用74LS47译码驱动集成电路。共阳就是把abcdefg的7个发光二极管的正极连接在一起并接到5V电源上,其余的7个负极接到74LS47相应的abcdefg输出端上。无论共阴共阳7段显示电路,都需要加限流电阻,否则通电后就把7段译码管烧坏了!限流电阻的选取是:5V电源电压减去发光二极管的工作电压除上10ma到15ma得数即为限流电阻的值。发光二极管的工作电压一般在1.8V--2.2V,为计算方便,通常选2V即可!发光二极管的工作电流选取在10-20ma,电流选小了,7段数码管不太亮,选大了工作时间长了发光管易烧坏!对于大功率7段数码管可根据实际情况来选取限流电阻及电阻的瓦数! 发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。图4 - 17(a)是共阴式LED数码管的原理图,图4-17(b)是其表示符号。使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图4 - 17(c)所示。 BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以F a~F g表示),也称4—7译码器。若用它驱动共阴LED数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。例如,当输入8421码DCBA=0100时,应显示,即要求同时点亮b、c、f、g段,熄灭a、d、e段,故译码器的输出应为F a~F g=0110011,这也是一组代码,常称为段码。同理,根据组成0~9这10个字形的要求可以列出8421BCD七段译码器的真值表,见表4 - 12(未用码组省略)。

2016译码显示电路实验报告

实验四译码显示电路 一、实验目的 1. 掌握中规模集成译码器的逻辑功能和使用方法 2. 熟悉数码管的使用 二、实验仪器及器件 1.器件:74LS48, 74LS194 , 74LS73,74LS00 ,74LS197, 74LS153, 74LS138,CLOCK,MPX4-CC-BULE, MPX8-CC-BULE, 及相关逻辑门 三、实验预习 1. 复习有关译码显示原理。 2. 根据实验任务,画出所需的实验线路及记录表格。 四、实验原理 1. 数码显示译码器 (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。(注:实验室实验箱上数码管为共阴四位数码管) 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(寸和寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动) (c) 符号及引脚功能 图(一)LED数码管 (2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。

图(二)为74LS48引脚排列。其中 A 0、A 1、A2、A 3— BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端;作为输出端使用时,灭零输出端。 注:在实验箱上使用了两个4位数码管,对应已经连接好74LS48,如图(四),实验时无需再连线,74LS48只保留引出了A 0、A1、A 2、A 3四个引脚 。在实验箱左上角的P10、P11、P12、P13(P20、P21、P22、P23)代表第一(二)块数码管的BCD 码(即A 0、A 1、A 2、A 3端)输入,DIG1~DIG8分别代表8位数码管的位选端。 2. 扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。利用数码管的余辉效应和人眼的视觉暂留效应,虽然在某一时刻只有一个数码管在显示,但人眼看到的是多个数码管“同时”被点亮的效果。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1低电平送出千位数,Ds2低电平送出百位数,……一般Ds 的低电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED (共阴)的发光段并连接至译码器的相应端,把数据输入的相应端与系统输出端相连,把各位选通端反向后接相应LED 的公共端。

七段显示译码器电路设计

题目:七段显示译码器电路设计专业:生产过程自动化专业 班级:生产过程0901 姓名: 学号: 指导老师:杨旭

目录 第一节绪论…………………………………………………………………………….. 1.1本设计的任务和主要内容……………………………………………………………….. 1.2基本工作原理及原理框图………………………………………………………………...第二节硬件电路的设计………………………………………………………………… 2.1BCD译码器选择与设计……………………………………………………………………. 2.2LED显示器的设计…………………………………………………………………………… 2.3总的设计……………………………………………………………………………………第四节设计总结………………………………………………………………………… 第一节绪论 本课程设计的七段译码器主要以BCD译码器或LED显示器为主要部件,应用集成门电路组成的一个具有译码和显示的装置。其中BCD 译码器采用8421BCD译码器,即----七段显示译码器(74LS48)型。LED显示器是由发光二极管组成的,LED显示器分共阴极和共阳极两种型号,共阴极LED显示器的发光二级管阴极接地,共阳极LED显示器的发光二极管阳极并联。最后把BCD译码器或LED显示器组成了的

装置就具有了显示和译码的功能。此七段译码器也就成功了。 1.1设计的任务和本主要内容 1)运用LED显示器或BCD译码器实现一定的功能 2)写出详细的实验报告 1.2基本工作原理及原理框图 基本工作原理及原理框图如下: 第二节硬件的设计 BCD译码器选择与设计 发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。分段式显示器(LED数码管)由7条线段围成字型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。 LED数码管有共阳、共阴之分。图4 - 17(a)是共阴式LED数码管的原理图,图4-17(b)是其表示符号。使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控

计数译码显示电路实验

实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路就是由计数器、译码器与显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器就是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器与异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器与可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1就是它的逻 辑图。这个电路除了具有二进制加法计 数功能外,还具有预置数、清零、保持的 功能。图中LD就是预置数控制端,D、 R就是 C、B、A就是预置数据输入端, D 清零端,EP、ET就是计数器使能控制 端,RCO就是进位信号输出端,它的主要 功能有: ①异步清零功能

R=0(输出低电平),则输出QDQCQBQA=0000,除EP、ET信号外,与其它输入信号无若 D 关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、C、在 D B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数据保在 D 持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数方式在 D 为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表5-l 所示。 表5-1 74LS161的功能表 本实验所需计数器就是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即与反馈置数法。反馈置零法就是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,如图 清除端 D 5-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反

实验译码显示电路

实验四译码显示电路 一、实验目的: 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验仪器及器件: 1、数字电路实验箱、数字万用表、示波器。 2、器件:74LS48X1, 74LS194X1, 74LS73X1, 74LS00X2 三、实验预习: 1、复习有关译码显示原理。 2、根据实验任务,画出所需的实验线路及记录表格。 四、实验原理: 1、数码显示译码器: (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(C)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0--9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD 码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511 (共阴)等,本实验系采用'74LS48 BCD码锁存/七段译码/驱 动器。驱动共阴极LED数码管。 图(二)为74LS48引脚排列。其中A、B、C、D - BCD码 输入端,a、b、c、d、e、f、g——译码输出端,输出“1" 有效,用来驱动共阴极LED数码管。 - 灯测试输入端,=“0”时,译码输出全为“1” - 灭零输入端,=“0”时,不显示多余的零。 /-作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 2、扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码,如图(三)所示。图中的Ds称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED(共阴)的发光段并连接至译码器的相应端,把数据输入的相应权端与系统输出端相连,把各位选通端反相后接相应LED的公共端。f(A)使数据输入是伪码(8421BCD中的1010-1111)时使f(A)=0,伪码灭灯。接译码器的灭灯I B端,使不显示伪码。 3、四节拍发生器 扫描显示要求数码管按先后顺序显示。这就要求如图(三)所示的选通信号。通常该类型的信号称为节拍信号。如果使用的数码管是共阴极型,则选通信号是图(三)的反相。如图(五)所示就是这种节拍信号发生器。

相关主题