搜档网
当前位置:搜档网 › verilog 矩阵键盘

verilog 矩阵键盘

verilog 矩阵键盘
verilog 矩阵键盘

/************************************************

工程:4x4矩阵键盘

日期:2011-08-3

最后修改:

功能:键盘

说明:ROW【3:0】设为输入,COL【3:0】设为输出。

如果没有按键按下,则ROW【3:0】一直被上

拉为高电平,且COL【3:0】有低电平输出,

ROW【3:0】中才有可能低电平输入。

*************************************************/ module keys(clk_50M,rst_n,row,col,dataout,smg_wei);

/*************************************************/

output [3:0]col; //矩阵键盘列

input rst_n; //复位键

input clk_50M; //系统时钟

input [3:0]row; //矩阵键盘行

output [7:0]dataout; //键盘值数码管显示数据

output [7:0]smg_wei; //数码管显示使能

reg [7:0]dataout;

reg [3:0]col;

reg [3:0]key_board_val;

/*************************************************/ assign smg_wei=0; //八个数码管显示

/*************************************************/

//分频部分开始

/*************************************************/ reg[19:0]cnt; //计数子

always @(posedge clk_50M or negedge rst_n)

if(!rst_n)

cnt<=0;

else

cnt<=cnt+1'b1;

wire key_clk=cnt[19];

// 2^20/50M=21ms

/*************************************************

状态机部分独热码编码

*************************************************/

parameter NO_KEY_PRESSED=6'b000_001;//没有键按下parameter SCAN_COL0 =6'b000_010;//扫描第0 列parameter SCAN_COL1 =6'b000_100;//扫描第1 列parameter SCAN_COL2 =6'b001_000;//扫描第2 列parameter SCAN_COL3 =6'b010_000;//扫描第3 列parameter KEY_PRESSED =6'b100_000;//有键按下

/*************************************************/ reg [5:0]current_state,next_state; //现态和次态

/*************************************************/

//复位

/*************************************************/

always @(posedge key_clk or negedge rst_n)

if(!rst_n)

current_state<=NO_KEY_PRESSED;

else

current_state<=next_state;

/*************************************************/

always @ * //(current_state) //根据条件转移状态case (current_state)

NO_KEY_PRESSED: //没有键按下

if(row!=4'hf)

next_state=SCAN_COL0;

else

next_state=NO_KEY_PRESSED;

SCAN_COL0: //扫描第0 列

if(row!=4'hf)

next_state=KEY_PRESSED;

else

next_state=SCAN_COL1;

SCAN_COL1: //扫描第1 列

if(row!=4'hf)

next_state=KEY_PRESSED;

else

next_state=SCAN_COL2;

SCAN_COL2: //扫描第2 列

if(row!=4'hf)

next_state=KEY_PRESSED;

else

next_state=SCAN_COL3;

SCAN_COL3: //扫描第3 列

if(row!=4'hf)

next_state=KEY_PRESSED;

else

next_state=NO_KEY_PRESSED;

KEY_PRESSED: //有按键按下

if(row!=4'hf)

next_state=KEY_PRESSED;

else

next_state=NO_KEY_PRESSED;

endcase

/*************************************************/

reg key_pressed_flag; //按键按下标志

reg [3:0]col_val; //列值

reg [3:0]row_val; //行值

/*************************************************/

//根据次态,给相应的寄存器赋值

/*************************************************/

always @(posedge key_clk or negedge rst_n)

if(!rst_n) //复位

begin

col<=4'h0;

key_pressed_flag<=0;

end

else

case (next_state)

NO_KEY_PRESSED:

begin

col<=4'h0;

key_pressed_flag<=0;

end

SCAN_COL0: //扫描第0 列

col<=4'b1110;

SCAN_COL1: //扫描第1 列

col<=4'b1101;

SCAN_COL2: //扫描第2 列

col<=4'b1011;

SCAN_COL3: //扫描第3 列

col<=4'b0111;

KEY_PRESSED: //有按键按下

begin

col_val<=col; // 锁存列值

row_val<=row; // 锁存行值

key_pressed_flag<=1;

// 置键盘按下标

end

endcase

/****************************************************************************** **/

// 扫描行列值部分开始

/****************************************************************************** **/

always @(posedge key_clk or negedge rst_n)

if(!rst_n)

key_board_val<=4'h0;

else

if(key_pressed_flag)

case({col_val,row_val})

8'b11101110 : key_board_val <= 4'h0;

8'b11101101 : key_board_val <= 4'h4;

8'b11101011 : key_board_val <= 4'h8;

8'b11100111 : key_board_val <= 4'hC;

8'b11011110 : key_board_val <= 4'h1;

8'b11011101 : key_board_val <= 4'h5;

8'b11011011 : key_board_val <= 4'h9;

8'b11010111 : key_board_val <= 4'hD;

8'b10111110 : key_board_val <= 4'h2;

8'b10111101 : key_board_val <= 4'h6;

8'b10111011 : key_board_val <= 4'hA;

8'b10110111 : key_board_val <= 4'hE;

8'b01111110 : key_board_val <= 4'h3;

8'b01111101 : key_board_val <= 4'h7;

8'b01111011 : key_board_val <= 4'hB;

8'b01110111 : key_board_val <= 4'hF;

endcase

/****************************************************************************** ***/

// 键盘值转换为数码管显示

/****************************************************************************** ***/

always @ * //(key_board_val)

begin

case(key_board_val)

4'h0:

dataout<=8'b11000000; //0

4'h1:

dataout<=8'b11111001; //1

4'h2:

dataout<=8'b10100100; //2

4'h3:

dataout<=8'b10110000; //3

4'h4:

dataout<=8'b10011001; //4

4'h5:

dataout<=8'b10010010; //5

4'h6:

dataout<=8'b10000010; //6

4'h7:

dataout<=8'b11111000; //7

4'h8:

dataout<=8'b10000000; //8

4'h9:

dataout<=8'b10010000; //9

4'hA:

dataout<=8'b10001000; //a

4'hB:

dataout<=8'b10000011; //b

4'hC:

dataout<=8'b11000110; //c

4'hD:

dataout<=8'b10100001; //d

4'hE:

dataout<=8'b10000110; //e

4'hF:

dataout<=8'b10001110; //f

endcase

end

/****************************************************************************** ***/

// 键盘值转换为数码管显示结束

/****************************************************************************** ***/

endmodule

英飞拓V2115矩阵键盘操作手册

英飞拓V2115矩阵键盘操作手册 V2115 系统键盘可应用于所有Infinova 系列矩阵切换控制系统,来实现视频切换、系统设置、菜单编程、云台和镜头控制、确认报警以及巡视切换等功能。 V2115 系统键盘采用双向RS232 通讯协议与Infinova 系列矩阵切换控制系统通讯,并提供6 种不同的通讯波特率供用户选择。 为方便系统操作,V2115 系统键盘还提供了LCD 显示区用来显示从键盘输入的摄像机编号、监视器编号和其他从键盘输入的数字。 1.2 特点 界面友好,图标指示设计 用户 ID 进入,可提供系统登录和退出功能 地址 ID 选择,成组切换编程及控制功能 云台及解码器控制 巡视功能可实现摄像机序列的编程、运行和控制功能 成组切换功能可编程并同时调用多个摄像机场景 报警功能支持监视器布防、撤防及确认报警 预置场景设定及调用功能 摄像机锁定功能可防止他人控制已由当前用户控制的 摄像机 2.1 键盘参数设置 V2115 键盘设置包括设置键盘的波特率,LED 亮度,摇杆零位,扬声器的音量和PTZ 机动控制。操作者可设置如下的四个键盘参数: 波特率—1200(默认),2400,4800,9600,19,200 和 38,400bps LED 亮度—八个亮度等级 扬声器音量—开/关 PTZ 控制—可设置重复或进行/中断控制方法 摇杆零位—设置摇杆的零位位置 进入键盘设置模式: 将键盘功能锁匙拨至 MENU 位置; 1. 按 F1 键,这时在CAMERA 显示区出现“ SETUPBAUD=”,在ENTER 显示区出现当前的波特率的值。 更改波特率: 1. 按 NEXT 键可正向循环选择可用的波特率:1200、2400、4800、9600、19200 以及38400;按LAST 键可反向循环选择可用的波特率。出厂默认波特率为1200bps。 2. 当在 ENTER LED 区域出现所要选择的波特率时,按PROG 键来保存更改设置。用户可继续进行LED 亮度的调整,如果要离开系统设置模式按F1 键。 调整LED 显示亮度: 1. 在进行完波特率更改之后,CAMERA 显示区出现“LEDS=”来提示用户可进行LED 显示亮度的调整。系统共有8 级LED 显示亮度等级(1-8)。级别1 为最低亮度,级别8 为最高亮度,出厂时的LED 亮度等级为8。 2. 按 NEXT 或LAST 键进行正向或反向显示亮度等级,当级别改变时,CAMERA 区的LED 亮度也跟着改变,用户可很方便地进行调整适合自己操作的LED 显示

监控矩阵键盘说明书

.. 主控键盘 (SYSTEM KEYBOARD) 使用说明书 (中文版第二版)

Copyright 2009-2012. All Rights Reserved. 注意事项: 1.安装场所 远离高温的热源和环境,避免直接照射。 为确保本机的正常散热,应避开通风不良的场所。 为了防止电击和失火,请勿将本机放置于易燃、易爆的场所。 小心轻放本机避免强烈碰撞、振动等,避免安装在会剧烈震动的场所。避免在过冷、过热的场所间相互搬动本机,以免机器部产生结露,影响机器的使用寿命。 2.避免电击和失火 切记勿用湿手触摸电源开关和本机。 勿将液体溅落在本机上,以免造成机器部短路或失火。 勿将其它设备直接放置于本机上部。 安装过程中进行接线或改线时,都应将电源断开,预防触电。 重要提示: 为了避免损坏,请勿自动拆开机壳,必须委托有资格有专业维修人员在指定的维修单位进行维修。 清洁装置时,请勿使用强力清洗剂,当有灰尘时用干布擦拭装置。 不得在电源电压过高和过低的场合下使用该本机。 务请通读本使用说明书,以便您掌握如正确使用本机。当您读本说明书后,请把它妥善保存好,以备日后参考。如果需要维修,请在当地与经本公司授权的维修站联系。 环境防护: 本机符合电磁辐射标准,对人体无电磁辐射伤害。 申明:

产品的发行和销售由原始购买者在可协议条款下使用; 未经允,任单位和个人不得将该产品全部或部分复制、再生或翻译成其它机器可读形式的电子媒介; 本手册若有任修改恕不另行通知; 因软件版本升级而造成的与本手册不符,以软件为准。 目录 设备概述 (3) 第一部分控制矩阵切换系统 (4) 1.1键盘通电 (4) 1.2键盘操作加锁 (4) 1.3键盘操作解锁 (4) 1.4键盘密码设置 (4) 1.5选择监视器 (5) 1.6选择摄像机 (5) 1.7控制解码器 (5) 1.8控制智能高速球 (6) 1.9操作辅助功能 (7) 1.10系统自由切换 (8) 1.11系统程序切换 (9) 1.12系统同步切换 (10) 1.13系统群组切换 (10) 1.14报警联动 (10) 1.15防区警点 (11) 1.16警点状态 (11) 1.17声音开关 (11) 第二部分控制数字录像机、画面处理器 (11) 2.1进入数字录像机、画面处理器模式 (11) 2.2退出数字录像机、画面处理器模式 (11) 2.3选择数字录像机、画面处理器 (11) 2.4控制数字录像机、画面处理器 (12) 第三部分设置连接 (12) 3.1键盘工作模式 (12)

郭天祥老师51单片机中矩阵键盘显示程序

3.键盘的应用,第一排。 #include #define uint unsigned int #define uchar unsigned char sbit dula=P2^6; sbit wela=P2^7; void delay(uint); uchar code table[]= { //段选的数字决定显示的数字,这里的是数字0~15 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71,}; uchar num,temp; void main() { dula=0; wela=1; P0=0xc0; //位选6数码管 wela=0; while(1) { P3=0xfe; temp=P3; temp=temp&0xf0; while(temp!=0xf0) { delay(5); temp=P3; temp=temp&0xf0; while(temp!=0xf0) { temp=P3; switch(temp) { case 0xee:num=1; break; case 0xde:num=2; break; case 0xbe:num=3; break;

case 0x7e:num=4; break; } } while(temp!=0xf0) { temp=P3; temp=temp&0xf0; } } dula=1; P0=table[num-1]; dula=0; } } void delay(uint z) //延时函数 { uint x,y; for(x=z;x>0;x--) for(y=110;y>0;y--); }

按键消抖的原理和基于fpga的消抖设计

按键消抖 1功能概述 按键开关是各种电子设备不可或缺的人机接口,如电脑的键盘等。实际应用中,按键开关通常为机械式弹性开关。当机械点断开、闭合时,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定接通,断开时也不会马上断开,在闭合和断开的瞬间均伴随有一连串的抖动。为保证系统及时正确识别,必须对这种情况作出相应处理。我们称之为按键消抖。 按键消抖可分为硬件消抖和软件消抖。硬件消抖的原理是在信号输入系统之前消除抖动干扰,在按键较少的情况下比较适宜。如果按键较多,则使用软件消抖。软件消抖的实质在于降低键盘输入端口的采样频率,将高频抖动略去。需要注意的是,软件消抖需要占据一定的系统资源。 尽管硬件消抖和软件消抖能实现按键消抖功能,串行处理的方式都存在一定的局限性,显得不那么完美。而硬件资源丰富的FPGA系统采用并行处理的模式,利用硬件来减轻软件工作量,通过硬件加速软件消抖处理,即可做到软件消抖并行化,因而在按键消抖处理方面具备非常明显的优势。 优秀的设计程序应该是用最简单的代码(架构、信号)实现功能。在本例中,我们的只需要用4个信号界定,并用很短的代码即可。下面我们先来看看功能要求: 在系统设计中,消除按键抖动的方法五花八门,无论是硬件电路和软件设计都十分成熟。在本项目中,我们将用Verilog语言给出具体实现过程,设计一个程序来检查键值,有效滤除按键抖动区间20 ms的毛刺脉冲。 2 设计思路 一般按键所用开关为机械弹性开关,由于机械触点的弹性作用,每个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动,如下图。抖动时间的长短由按键的机械特性决定,一般为5 ms~10 ms。 1

矩阵键盘的工作原理和扫描确认方式

9.3.1 矩阵键盘的工作原理和扫描确认方式 来源:《AVR单片机嵌入式系统原理与应用实践》M16华东师范大学电子系马潮 当键盘中按键数量较多时,为了减少对I/O 口的占用,通常将按键排列成矩阵形式,也称为行列键盘,这是一种常见的连接方式。矩阵式键盘接口见图9-7 所示,它由行线和列线组成,按键位于行、列的交叉点上。当键被按下时,其交点的行线和列线接通,相应的行线或列线上的电平发生变化,MCU 通过检测行或列线上的电平变化可以确定哪个按键被按下。 图9-7 为一个 4 x 3 的行列结构,可以构成12 个键的键盘。如果使用 4 x 4 的行列结构,就能组成一个16 键的键盘。很明显,在按键数量多的场合,矩阵键盘与独立式按键键盘相比可以节省很多的I/O 口线。 矩阵键盘不仅在连接上比单独式按键复杂,它的按键识别方法也比单独式按键复杂。在矩阵键盘的软件接口程序中,常使用的按键识别方法有行扫描法和线反转法。这两种方法的基本思路是采用循环查循的方法,反复查询按键的状态,因此会大量占用MCU 的时间,所以较好的方式也是采用状态机的方法来设计,尽量减少键盘查询过程对MCU 的占用时间。 下面以图9-7 为例,介绍采用行扫描法对矩阵键盘进行判别的思路。图9-7 中,PD0、PD1、PD2 为3 根列线,作为键盘的输入口(工作于输入方式)。PD3、PD4、PD5、PD6 为4根行线,工作于输出方式,由MCU(扫描)控制其输出的电平值。行扫描法也称为逐行扫描查询法,其按键识别的过程如下。 √将全部行线PD3-PD6 置低电平输出,然后读PD0-PD2 三根输入列线中有无低电平出现。只要有低电平出现,则说明有键按下(实际编程时,还要考虑按键的消抖)。如读到的都是高电平,则表示无键按下。 √在确认有键按下后,需要进入确定具体哪一个键闭合的过程。其思路是:依

三维矩阵键盘操作手册

矩阵控制键盘操作说明 键盘概述 控制器是智能电视监控系统中的控制键盘,也是个监控系统中人机对话的主要设备。可作为主控键盘,也可作为分控键盘使用。对整个监控系统中的每个单机进行控制。 键盘功能 1.中文/英文液晶屏显示 2.比例操纵杆(二维、三维可选)可全方位控制云台,三维比例操纵杆可控制摄像机的变倍 3.摄像机可控制光圈开光、聚集远近、变倍大小 4.室外云台的防护罩可除尘和除霜 5.控制矩阵的切换、序切、群组切换、菜单操作等 6.控制高速球的各种功能,如预置点参数、巡视组、看守卫设置、菜单操作等 7.对报警设备进行布/撤防及报警联动控制 8.控制各种协议的云台、解码器、辅助开头设置、自动扫描、 自动面扫及角度设定 9.在菜单中设置各项功能 10.键盘锁定可避免各种误操作,安全性高 11.内置蜂鸣器桌面上直接听到声音,可判断操作是否有效 技术参数 1.控制模式主控、分控 2.可接入分控数16个 3.可接入报警模块数239个 4.最大报警器地址1024个 5.最大可控制摄像机数量1024个 6.最大可控制监视器数量 64个 7.最大可控制解码器数量 1024个 8.电源 AC/DC9V(最低500mA的电源) 9.功率 5W 10.通讯协议Matri、PEL-D、PEL-P、VinPD 11.通讯波特率1200 Bit/S,2400 Bit/S,4800 Bit/S ,9600Bit/S, Start bit1,Data bit8,Stop bit1

接线盒的脚定义 控制线连接图 键盘按键说明 lris Focus Far 聚焦远 Focus Near 聚焦近 Zoom Tele 变倍大 Zoom Wide 变倍小 DVR 设备操作 DVR 功能键 Shift 用户登入 Login 退出键 Exit 报警记录查询 List 进入键盘主菜单 MENU 启动功能 F1/ON 关闭功能 F2/OFF 液晶显示区

按键消抖实验

基于verilog按键消抖设计 Aaron malone 关于键盘的基础知识,我就以下面的一点资料带过,因为这个实在是再基础不过的东西了。然后我引两篇我自己的博文,都是关于按键消抖的,代码也正是同目录下project里的。这两篇博文都是ednchina的博客精华,并且在其blog 首页置顶多日,我想对大家会很有帮助的。 键盘的分类 键盘分编码键盘和非编码键盘。键盘上闭合键的识别由专用的硬件编码器实现,并产生键编码号或键值的称为编码键盘,如计算机键盘。而靠软件编程来识别的称为非编码键盘。 在单片机组成的各种系统中,用的最多的是非编码键盘。也有用到编码键盘的。非编码键盘有分为:独立键盘和行列式(又称为矩阵式)键盘。 按键在闭合和断开时,触点会存在抖动现象:

从上面的图形我们知道,在按键按下或者是释放的时候都会出现一个不稳定的抖动时间的,那么如果不处理好这个抖动时间,我们就无法处理好按键编码,所以如何才能有效的消除按键抖动呢?让下面的两篇博文日志给你答案吧。 经典的verilog键盘扫描程序 从最基础的分频程序开始,但看到这个键盘扫描程序后,直呼经典,有相见恨晚的感觉,还想说一句:威百仕( VibesIC ),我很看好你!WHY?待我慢慢道来,这个程序的综合后是0error,0warning。想想自己编码的时候那个warning是满天飞,现在才明白HDL设计有那么讲究了,代码所设计的不仅仅是简单的逻辑以及时序的关系,更重要的是你要在代码中不仅要表现出每一个寄存器,甚至每一个走线。想想我写过的代码,只注意到了前者,从没有注意过后者,还洋洋自得以为自己也算是个高手了,现在想来,实在惭愧啊!学习学习在学习,这也重新激发了我对HDL设计的激情,威百仕给了我一个方向,那我可要开始努力喽! 废话说了一大堆,看程序吧:(本代码经过ise7.1i综合并下载到SP306板上验证通过)

4X4扫描式矩阵键盘课程设计讲解

4x4矩阵键盘识别设计班级:1221201 专业:测控技术与仪器 姓名:涂勇 学号:2012 2012 0110 指导老师:钟念兵 东华理工大学 2016年1月1日

摘要 随着21世纪的到来,电子信息行业将是人类社会的高科技行业之一,电子式设施现代化的基础,也是人类通往科技巅峰的直通路。电子行业的发展从长远来看很重要,但最主要的还是科技问题。 矩阵式键盘提高效率进行按键操作管理有效方法,它可以提高系统准确性,有利于资源的节约,降低对操作者本身素质的要求。是它能准时、实时、高效地显示按键信息,以提高工作效率和资源利用率。 矩阵式键盘乃是当今使用最为广泛的键盘模式,该系统以N个端口连接控制N*N 个按键,显示在LED数码管上。单片机控制依据这是键盘显示系统,该系统可以对不同的按键进行实时显示,其核心是单片机和键盘矩阵电路部分,主要对按键与显示电路的关系、矩阵式技术及设备系统的硬件、软件等各个部分进行实现。 4*4矩阵式键盘采用STM32嵌入式微处理器为核心,主要由矩阵式键盘电路、硬件电路、显示电路等组成,软件选用C语言编程。STM32将检测到的按键信号转换成数字量,显示于LED显示器上。该系统灵活性强,易于操作,可靠性高,将会有更广阔的开发前景。

目录 第一章:系统功能要求--------------------------------------------------------4*4 矩阵式键盘系统概述------------------------------------------------ 本设计任务和主要内容--------------------------------------------------- 第二章:系统硬件电路的设计------------------------------------------------硬件系统主要思路和电路原理图- -------------------------------------- 硬件上键盘规划- --------------------------------------------------------- 第三章:系统程序的设计------------------------------------------------------程序的编写步骤----------------------------------------------------------- 编写的源程序-------------------------------------------------------------- 第四章:心得体会---------------------------------------------------------------

矩阵键盘控制12864显示最经典程序

#include //这个程序的功能:用4*4的矩阵键盘(接P3口)按键盘k1——k16中的任何一个键ki #include //12864液晶上显示数字i-1 (液晶数据口接P0) #define uint unsigned int//键盘扫描的思想是将行设置为低,列设置为高,来读取P3口的值,就能知道是哪个按键按下了 #define uchar unsigned char #define LCDdata P0 sbit E = P2^7; sbit RW = P2^6; sbit RS = P2^5; void init(); void delayms(uint x); void displaykey(); void write_com(uchar com);//写命令 void write_data(uchar date);//写数据 uchar temp; //--------------主函数----------------- void main() { init();// P3=0xfe;//P3=0xfd;//P3=0xfb;//P3=0xf7; while(1) { displaykey(); } } //-------------液晶初始化---------------- void init() { write_com(0x01); write_com(0x02); write_com(0x06); write_com(0x0e); } //------------毫秒延时--------------- void delayms(uint x) { uchar i; while(x--) {

矩阵键盘操作说明

矩阵键盘操作说明 一、系统复位 1按数字键0后,按MON键 2输入99后,按NEXT键 二、键盘视频选择 首先是监视器选择然后是摄像机选择 1、按键盘上的CLEAR键,清除键盘数字输入ENTER区中的数字显示 2、输入所选择的监视器号,该数字在键盘数字输入ENTER区中显示 3、按MON键,该监视器号在键盘监视器MONITOR区中显示 4、同时系统主机将返回该监视器对应的图像号,在键盘的摄像机CAMERA区中显示。 5、输入选择的摄像机号,该数字在键盘数字输入ENTER区中显示 6、按CAM键 7、系统主机将返回该图像号,在键盘的摄像机CAMERA区中显示则选择的图像再选择的 监视器上显示 三、图像区域切换 在指定的监视器上运行一个指定区域的图像切换,该功能可以在任何一个监视上浏览切换所有的图像操作步骤如下: 1、按键盘上CLERA键,清除数字输入ENTER区中的数字显示 2、输入所选择的监视器号,该数字在键盘数字输入ENTER区中显示 3、按MON键,该监视器号在键盘监视器MONITOR区中显示 4、输入区域切换中的开始图像号 5、按ON键,确认开始区域的开始图像 6、输入区域切换中的结束图像号 7按OFF键确定区域切换的结束图像 完成后则该监视器开始区域切换依次按照设定的图像号进行切换如要添加一个图像到切换序列中则: 1和设置区域切换的步骤一样重复1-3步,选择一个监视器,该监视必须已存在一个切换队列 2、输入所希望添加的摄像机图像好,该摄像机图像号必须在系统的最大允许摄像机图像号的范围内 3、按组合键ENTER-ON,ENTER键必须在前面,确定添加的图像。 如要在切换队列中删除一个图像: 1、和设置区域切换的步骤一样重复1-3步,选择一个监视器,该监视必须已存在一个切换队列 2输入所希望添加的摄像机图像好,该摄像机图像号必须在这个序列切换范围内。 3、按组合键ENTER-OFF,ENTER必须在前面,确认删除图像。 四、报警设置 单布防 针对需要布防的防区一个一个的布防,防区布防后,根据监视器与防区触点权限表,自动将该防区分配到与之对应的监视器上。一旦报警,则与之相关的报警监视器就可以对这个报警防区进行响应。具体操作如下1、输入防区号 2、按组合键ARM-ON,ARM键必须先按,对该报警防区进行确认。 全布防。撤防即按ARM-OFF键 1、输入数字键0

Verilog写的按键消抖程序

前几天看了特权同学用Verilog写的按键消抖程序,感觉很经典。在这里将程序贴出来分享一下。 module lcd_button2(clk,rst,seg,wei,sw1,sw2,sw3,sw4);//按键按下,数码管依次显示0-9 input clk; input rst; input sw1,sw2,sw3,sw4; output [3:0] wei; output[7:0] seg; reg [7:0] seg; reg [3:0] wei; integer num; initial begin num = 0; end reg[3:0] key_rst; always @(posedge clk or negedge rst) if(!rst) key_rst <= 4'b1111; else key_rst <= {sw4,sw3,sw2,sw1}; reg[3:0] key_rst_r; always @(posedge clk or negedge rst) if(!rst) key_rst_r <= 4'b111; else key_rst_r <= key_rst; wire[3:0] key_an = key_rst_r & (~key_rst); reg[19:0] cnt; always @(posedge clk or negedge rst) if(!rst) cnt <= 0; else if(key_an) cnt <= 0; else cnt <= cnt+1'b1; reg [3:0] low_sw; always @(posedge clk or negedge rst)

单片机课程设计4X4矩阵键盘显示要点

长沙学院 《单片机原理及应用》 课程设计说明书 题目液晶显示4*4矩阵键盘按键号 程序设计 系(部) 电子与通信工程系 专业(班级) 电气1班 姓名龙程 学号2011024109 指导教师刘辉、谢明华、王新辉、马凌 云 起止日期2014.5.19—2014.5.30

长沙学院课程设计鉴定表

《单片机技术及应用》课程设计任务书系(部):电子与电气工程系专业:11级电子一班指导教师:谢明华、刘辉

目录 前言 (5) 一、课程设计目的 (6) 二、设计内容及原理 (6) 2.1 单片机控制系统原理 (6) 2.2阵键盘识别显示系统概述 (6) 2.3键盘电路 (7) 2.4 12864显示器 (8) 2.5整体电路图 (9) 2.6仿真结果 (9) 三、实验心得与体会 (10) 四、实验程序 (10) 参考文献 (18)

前言 单片机,全称单片微型计算机(英语:Single-Chip Microcomputer),又称微控制器 应(不用外接硬件)和节约成本。它的最大优点是体积小,可放在仪表内部,但存储量小,输入输出接口简单,功能较低。由于其发展非常迅速,旧的单片机的定义已不能满足,所以在很多应用场合被称为范围更广的微控制器;从上世纪80年代,由当时的4位、8位单片机,发展到现在的32位300M的高速单片机。现代人类生活中所用的几乎每件有电子器件的产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电子产品中都含有单片机。汽车上一般配备40多片单片机,复杂的工业控制系统上甚至可能有数百片单片机在同时工作!单片机的数量不仅远超过PC机和其他计算机的总和,甚至比人类的数量还要多。 是以电流刺激液晶分子产生点、线、面配合背部灯管构成画面。由一定数量的彩色或黑白像素组成,放置于光源或者反射面前方。液晶显示器功耗低,因此倍受工程师青睐,适用于使用电池的电子设备。英国科学家在上世纪制造了第一块液晶显示器即LCD。而第一台可操作的LCD基于动态散射模式(Dynamic Scattering Mode,DSM),是RCA公司乔治·海尔曼带领的小组开发的。 LED点阵屏通过LED(发光二极管)组成,以灯珠亮灭来显示文字、图片、动画、视频等,是各部分组件都模块化的显示器件,通常由显示模块、控制系统及电源系统组成。LED点阵显示屏制作简单,安装方便,被广泛应用于各种公共场合,如汽车报站器、广告屏以及公告牌等。 交叉处不直接连通,而是通过一个按键加以连接。这样,一个端口(如P1口)就可以构成4*4=16个按键, 键盘是合理的。

FPGA学习按键消抖实验Verilog代码

module sw_de(clk,rst_n,sw1_n,sw2_n,sw3_n,led_d1,led_d2,led_d3); input clk; //主时钟信号 50MHz input rst_n; //复位信号 低有效 input sw1_n,sw2_n,sw3_n;//三个独立按键 低表示按下 output led_d1,led_d2,led_d3;//发光二级管,分别由按键控制 //------------------------------------------------------ reg[2:0] key_rst; always @ (posedge clk or negedge rst_n) if(!rst_n) key_rst<=3'b111; else key_rst <= {sw3_n ,sw2_n ,sw1_n}; reg[2:0] key_rst_r;//每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中 always @ (posedge clk or negedge rst_n) if(!rst_n) key_rst_r<=3'b111; else key_rst_r<=key_rst; //当寄存器key_rst由1变为0时,led_an的值变为高,维持一个时钟周期 wire[2:0]key_an=key_rst_r&(~key_rst); //----------------------------------------------------- reg[19:0] cnt;//计数寄存器 always @ (posedge clk or negedge rst_n)/*always块clk时钟的上升沿和rst_n复位信号的下降沿触发执行*/ if (!rst_n) cnt<=20'd0; else if (key_an) cnt<=20'd0; else cnt<=cnt+1'b1; reg[2:0] low_sw;

矩阵键盘显示系统

1 4×4矩阵式键盘识别显示系统概述 矩阵式键盘模式以N个端口连接控制N*N个按键,实时在LED数码管上显示按键信息。显示按键信息,既降低了成本,又提高了精确度,省下了很多的I/O 端口为他用,相反,独立式按键虽编程简单,但占用I/O口资源较多,不适合在按键较多的场合应用。并且在实际应用中经常要用到输入数字、字母、符号等操作功能,如电子密码锁、电话机键盘、计算器按键等,至少都需要12到16个按键,在这种情况下如果用独立式按键的话,显然太浪费I/O端口资源,为了解决这一问题,我们使用矩阵式键盘。 矩阵式键盘又称行列键盘,它是用N条I/O线作为行线,N条I/O线作为列线组成的键盘。在行线和列线的每个交叉点上设置一个按键。这样键盘上按键的个数就为N×N个。这种行列式键盘结构能有效地提高单片机系统中I/O口的利用率。 最常见的键盘布局如图1.1所示。一般由16个按键组成,在单片机中正好可以用一个P口实现16个按键功能,这也是在单片机系统中最常用的形式,本设计就采用这个键盘模式。 图1.1 键盘布局

2系统主要硬件电路设计 2.1单片机控制系统原理 图2.1 单片机控制系统原理框图 2.2单片机主机系统电路 AT89C52单片机是51系列单片机的一个成员,是52单片机的简化版。内部自带2K字节可编程FLASH存储器的低电压、高性能COMS八位微处理器,与Intel MCS-52系列单片机的指令和输出管脚相兼容。由于将多功能八位CPU和闪速存储器结合在单个芯片中,因此,AT89C52构成的单片机系统是具有结构最简单、造价最低廉、效率最高的微控制系统,省去了外部的RAM、ROM和接口器件,减少了硬件开销,节省了成本,提高了系统的性价比。 图2.2 单片机主机系统图

矩阵键盘完整使用说明书

键盘控制器 (KEYBOARD CONTROLLER)使用说明书Operation Instruction Copyright 2003-2009. All Rights Reserved.

温馨提示: 感谢您使用本公司产品。 为了让您能够尽快熟练的操作本机,请您仔细阅读我们为您配备内容详细的使用说明书,从中您可以获取有关产品安全注意事项、产品介绍以及产品使用方法等方面的知识。当您阅读完说明书后,请将它妥善保存好,以备日后参考。 如果您在产品的使用过程中发现什么问题,请联系产品技术服务人员。谢谢您的合作! 申明: 在编写此说明书时我们非常小心谨慎,并认为此说明书中所提供的信息是正确可靠的,然而难免会有错误和疏漏之处,请您多加包涵并热切欢迎您的指正。但是我们将不对本手册可能出现的问题和疏漏负责。同时,由于我们无法控制用户对本手册可能造成的误解,因此,将不负责在使用本手册的过程中出现的事故或由此引起的损坏。对于因使用本产品所造成的任何损坏第三方的索赔不负责任。对于因软件的误操作、产品维修、或其它意外情况等引起资料的删改或丢失不负任何责任,也不对由此造成的其它间接损失负责。 本产品的发行和销售由原始购买者在许可协议条款下使用。 未经允许,任何单位和个人不得将本说明书全部或部分复制、再生或翻译成其它机器可读形式的电子媒介。 本说明书若有任何修改恕不另行通知。 因软件版本升级而造成的与本说明书不符,以软件为准。 注:本设备在出厂前已经过严格的质量测试,符合国家电磁辐射标准。

目录 第一部分键盘操作 (4) 1.1 设备概述 (4) 1.2 开机运行 (6) 1.3 键盘登录 (6) 1.4 键盘注销 (6) 第二部分控制监控主机 (6) 2.1 选择监视器 (6) 2.2 选择图像 (7) 2.3 向前、向后切换图像 (7) 2.4 图像保持 (7) 2.5 主机自由切换 (7) 2.6 主机程序切换 (8) 2.7 主机同步切换 (9) 2.8 主机群组切换 (10) 2.9 屏幕分割控制 (10) 2.10 屏幕拼接控制 (10) 2.11 保存主机当前设置 (11) 2.12 网络主机控制 (11) 2.13 监控主机菜单设置 (11) 第三部分控制摄像机 (12) 3.1 选择摄像机 (12) 3.2 控制摄像机方向 (12) 3.3 控制摄像机镜头 (13) 3.4 预置位操作 (13) 3.5 图像返回 (14) 3.6 自动巡视 (14) 3.7 轨迹扫描 (14) 3.8 区域扫描 (15) 3.9 云台自动扫描 (15) 3.10 操作辅助功能 (16) 3.11 智能摄像机菜单设置 (16) 第四部分控制报警主机 (16) 4.1 选择警点 (16) 4.2 防区警点设防、撤防 (16)

实验05按键消抖

实验五按键消抖 一. 实验目的 1. 掌握QuartusII的硬件描述语言设计方法 2. 了解同步计数器的原理及应用 3. 设计一个带使能输入、进位输出及同步清零的增1四位N (N<16)进制同步计数器 二. 准备知识 在按键使用的过程中,常常遇到按键抖动的问题,开关在闭合(断开)的瞬间,不能一接触就一直保持导通(断开),因为开关的机械特性,重要经历接触-断开-再接触-再断开,最终稳定在接触位置,这就是开关的抖动,即虽然只是按下按键一次然后放掉,结果在按键信号稳定前后,竟出现了一些不该存在的噪声,这样就会引起电路的误动作。在很多应用按键的场合,要求具有消抖措施。按键抖动与开关的机械特性有关,其抖动期一般为5-10ms。 键按下 键稳定 前沿抖动后沿抖动 图5.1 按键电平抖动示意图 按键的消除抖动分为硬件消除抖动和软件消除抖动。硬件消除抖动一般采用滤波的方法,通常在按键两端并联一个1~10u左右的电容,有时这样也不能完全消除按键的抖动。软件消除抖动的方法有多种,常用的是延时扫描和定时器扫描。延时扫描其原理为:检测到按键操作后延时一端时间(如10ms)后,再检测是否为仍然为同样的按键操作状态,如果相同,就认为是进行了按键操作,然后对该操作进行相应的处理。定时器扫描的原理是:每隔一端时间(几毫秒)扫描一次键盘,如果连续两次(或3次)的所获得的按键状态相同,就输出按键状态,然后再对这种按键状态进行处理,这里的扫描时间间隔和连续判断按键状态的次数是有关系的,一般总时间要大于按键的抖动期。如果总时间太长,则感觉按键迟钝,太短可能不能完全消除抖动,要根据实际的情况合适的选择。 在实际电路设计中,经常采用的是软硬件相结合对按键进行消除抖动的处理方法。 本实验采用的方法:实验箱按键的硬件电路是共阳极电路,按下按键时输出到FPGA管脚的电平为低电平,松开按键时为高电平。我们采用5ms的定时器扫描FPGA管脚电平,如果连续3次为低电平时,存储连续按键状态的次数CNT的值加1,直到该计数值等于10(或再大一些),就不再累加(防止长按该值溢出而重新计数),此时认为按键已稳定,输出按键操作标志;在该过程中,一旦FPGA管脚电平为低电平就对CNT复位清零并同时对按键操作标志位复位,即一个异步复位。 本实验采用的方法:实验箱按键的硬件电路是共阳极电路,按下按键时输出到FPGA管脚的电平为低电平,松开按键时为高电平。我们采用5ms的定时器扫描采样FPGA管脚电平,如果连续3次为低电平时,可以认为此时按键已稳定,输出一个低电平按键信号;继续采样的过程中如果不能满足连续3次采样为低,则认为键稳定状态结束,这时输出变为高电平(连线3次采用信号相“或”),

Verilog项目设计报拔河游戏机

河海大学物联网工程学院Verilog HDL项目设计报告 题目拔河游戏机 专业电子科学与技术 学号1562910123、1562910125 授课班号 6292758 学生徐子豪、诗欣 指导教师华迪、齐本胜

摘要和关键字 为了掌握数字系统的设计方法,掌握硬件描述语言——Verilog HDL,掌握模块化设计方法,掌握开发软件的使用方法。选择 基于FPGA开发板设计的拔河游戏机,使用两个按键进行比赛,利用按键按下的快慢作为模拟的双方选手拔河过程,led灯的变化决定绳子的位置,led灯到了一边以后比赛终止,整个过程的难点在于,需要过滤信号,使按键产生的信号稳定,所以程序中需要有按键消抖模块,同时需标识符来控制比赛的开始与结束。关键词:verilog 拔河比赛消抖模块标识符 In order to master the design method of digital system, we must master the hardware description language -- Verilog HDL, grasp the modular design method and master the way of developing software. Choice Tug of war game FPGA development board based on the design, the use of two button game, using key press speed as both

players tug of war of simulation, change led lamp decide the position of the rope, LED lights to the side after the end game, the difficulty lies in the whole process, need to filter the signal, the stable signal generated by the keys so, the procedure requires a key debounce module, also need to control the game's identifier and end start. Key words: Verilog tug shake-off module identifier. 一、系统设计 1 . 实验要求 设计拔河游戏电路,用按键与LED表示输入与输出。 (1)初始时,16个LED中间的两个点亮,然后游戏双方不停按动按键,点亮的两个LED向按动按键慢的一方移动;(2)每按动一下按键,LED向对方移动一格; (3)只要LED移动到头,游戏结束; (4)工作时钟100Hz即可; (5)完成全部流程:设计规文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

PELCO CM9760键盘说明书

PELCO CM9760-KBD-AU 使用说明(图) DOS编程操作步骤 一、分别在CM9760/9740-CC1的后面板连接显示器和键盘 二、在显示器出现8个小框的监视界面后,按键盘上的CTRL+Q退出监示界面 三、输入SET97XX后按回车进入编程界面 四、用ALT+S进入文件选择界面 五、用方向键选择到COMMS(通信文件)后按回车键进入 1、在通信文件界面上按ALT+F选择Load(装入文件) 2、在出现的LOAD FILE界面中,在PATH:后输入C:9740,按回车 3、选择下框中出现的TEST.SCP按回车进入此通信文件 4、查阅此文件中的通信口所连接的设备情况 通常:PORT 5的PIN为2,代表连接矩阵箱 PORT 6的PIN为100或大于100的数,代表连接的为键盘 以后的接口可能连接解码器,PIN为9或5(针对不同的解码器) ERD97P21-U解码器和SD5系列球机及ES系列枪机的的PIN均为9, 波特率为4800,NONE(无校验),1停止比特,8个比特长 其它常用外接设备参数为: 报警主机:1,4800,N,1,8. 继电器:17,9600,E,1,8 六、修改后按ALT+F并选择Save as连按两次回车保存修改

七、相同方法ALT+S,选择CAMERA(摄像机文件),回车进入。 1、按ALT+F选择Load(装入文件),在出现的LOAD FILE界面中,在PATH:后输入C:9740, 按回车。 2、选择下框中出现的TEST.CAM按回车进入摄像机文件。 在出现的表格中CAM为摄像机物理号(不可变)。LOG为摄像机逻辑号,用户可自 定义(建议与物理号一一对应)。IDENTS为摄像机字符标识号,用户可自定义(为 英文字符)。PRT为:如果摄像机带解码器,则填入相应的控制输出端口号(与通 信文件中所选择的控制端口对应)。ADR为带解码器摄像机的地址号(应与摄像机 实际所拨码地址号对应)。AUX:解码器辅助功能的工作状态(自锁或者点触)。 OPERATOR为定义该摄像机能被哪个键盘所控制(按回车键进入,按空格键选择键 盘)。GPI为继电器号码。需与继电器箱配合使用。V-ALM为视频同步信号丢失报警。 八、修改后按ALT+F并选择Save as连按两次回车保存修改。 九、相同方法进入PIN(用户登录文件) 此文件可设置用户登录密码,即PIN(一个键盘时的出厂设置一般为1111)。用户 编号OPR(范围1-96)。用户优先级别PRTY(范围1-9999,数字越小,级别越高)等。切记修改后用同样的方法保存。 十、用同样方法用户可以自行修改如MONITOR(监视器文件)等文件 十一、当确认修改完毕后,按CTRL+Q退出编程界面 十二、按CTRL+ALT+DEL重新启动系统 十三、系统检测过后即可进行正常操作和控制 键盘加电启动显示SYSTEM 9760 V**后,输入在PIN文件中所编的登录密码即可登 录系统,然后选择相应的监示器和摄像机进行切换和控制操作。

矩阵键盘显示电路的设计

二、实验原始数据记录 1.实验现象 当设计文件加载到目标器件后,将数字信号源模块的时钟选择为1KHZ,按下矩阵键盘的某一个键,则在数码管上显示对应的这个键标识的键值,当再按下第二个键的时候前一个键的键值在数码管上左移一位。按下“*”键则在数码管是显示“E”键值。按下“#”键在数码管上显示“F”键值。 2.实验图片记录 湖南科技大学 物理与电子科学学院专业实验报告 实验课程:FPGA 实验原理 实验项目:矩阵键盘显示电路的设计专业:物理与电子科学学院班级:电子信息科学与技术3班姓名:马竞怡学 号: 1308020328 实验日期:年月日

实验报告 一、实验目的内容及步骤 1.实验目的 1)了解普通4×4键盘扫描的原理。2)进一步加深七段码管显示过程的理解。3)了解对输入/输出端口的定义方法。 2..4×4矩阵键盘电路原理图 信号名称 对应FPGA 管脚名 说明 KEY-C0AC18 钜阵键盘的第1列选择KEY-C1AC17钜阵键盘的第2列选择KEY-C2AD17钜阵键盘的第3列选择KEY-C3AC16钜阵键盘的第4列选择KEY-R0AD16钜阵键盘的第1行选择KEY-R1AC15钜阵键盘的第2行选择KEY-R2AD15钜阵键盘的第3行选择KEY-R3 AC14 钜阵键盘的第4行选择 3..实验步骤 1)打开QUARTUSII 软件,新建一个工程。 2)建完工程之后,再新建一个VHDL File,打开VHDL 编辑器对话框。 3)按照实验原理和自己的想法,在VHDL 编辑窗口编写VHDL 程序,用户可参照光 盘中提供的示例程序。 4)编写完VHDL 程序后,保存起来。方法同实验一。 5)对自己编写的VHDL 程序进行编译并仿真,对程序的错误进行修改。 6)编译仿真无误后,依照4X4矩阵键、数码管与FPGA 的管脚连接表(表或参照附 录进行管脚分配。表10-2是示例程序的管脚分配表。分配完成后,再进行全编译一次,以使管脚分配生效。 7)用下载电缆通过JTAG 口将对应的sof 文件加载到FPGA 中。观察实验结果是否 与自己的编程思想一致。 实验预习报告 一、实验原理及公式 通常在一个键盘中使用了一个瞬时接触开关,并且用如图10-1所示的简单电路,微处理器可以容易地检测到闭合。当开关打开时,通过处理器的I/O 口的一个上拉电阻提供逻辑1;当开关闭合时,处理器的/IO 口的输入将被拉低得到逻辑0。可遗憾的是,开关并不完善,因为当它们被按下或者被释放时,并不能够产生一个明确的1或者0。尽管触点可能看起来稳定而且很快地闭合,但与微处理器快速的运行速度相比,这种动作是比较慢的。当触点闭合时,其弹起就像一个球。弹起效果将产生如图10-2所示的好几个脉冲。弹起的持续时间通常将维持在5ms ~30ms 之间。如果需要多个键,则可以将每个开关连接到微处理器上它自己的输入端口。然而,当开关的数目增加时,这种方法将很快使用完所有的输入端口。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出4行为高电平,然后输出4列为低电平,在读入输出的4行的值,通常高电平会被低电平拉低,如果读入的4行均为高电平,那么肯定没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。 获取到行值和列值以后,组合成一个8位的数据,根据实现不同的编码在对每个按键进行匹配,找到键值后在7段码管显示。 (矩阵键盘) 成绩:教师:

相关主题