搜档网
当前位置:搜档网 › 系统总体方案设计报告

系统总体方案设计报告

系统总体方案设计报告
系统总体方案设计报告

系统总体方案设计报告

方案设计是设计中的重要阶段,它是一个极富有创造性的设计阶段,同时也是一个十分复杂的问题,它涉及到设计者的知识水平、经验、灵感和想象力等。下面XX给大家带来系统总体方案设计报告,欢迎大家阅读。

系统总体方案设计报告1 本文研究了用PLC控制两台电梯的双电梯并联控制系统的设计方法,论文首先详细叙述了电梯的机械系统、拖动系统和控制系统的主要部件的功能和工作原理,确定了用PLC控制双电梯联动系统的方案。

然后确定了电梯控制系统的基本功能,并根据这些功能设计出了电梯的基本运行控制程序。论文讨论了对两部并联电梯运行的要求,研究了并联调度的原则。

并联电梯控制系统的设计以实际情况为根据,计算出了优化的电梯运行调度方案,达到高效、节能的目的。对我国的电梯市场的设计、研发提供了良好的实验依据。

1. 引言

本课题将在借鉴已有成果的基础上,设计基于计算机+可编程控制器的双电梯联动控制系统。通过合理地利用PLC 的硬件资源和软件资源,进行电梯群控系统的设计来提高电梯的操作灵活及快捷。对电梯的群控问题进行分析研究,以两台电梯的联控逻辑为例,设计基于计算机+可编程控制器的双电梯联动控制系统。通过合理地利用PLC的硬件资源

和软件资源,进行电梯群控系统的设计来提高电梯的安全可靠性和操作的灵活性,对缩短平均候梯时间、减少电梯运行时间具有重要意义,对电梯控制的发展具有促进作用。

本设计的主要研究方式、方法包括:

1.通过研究电梯的运行方式,进行双电梯的逻辑设计。双电梯一般遵守集选规则,即将呼叫信号先进行登记,对与电梯运行同向的呼叫信号逐一应答,当同向指令和召唤应答完毕后电梯可以自动换向。除此以外,电梯并联运行还遵循的相应的调度原则:正常情况下,当电梯使用以后,二号电梯作为忙梯会首先自动上升至第三层待命,一号电梯则作为基站电梯在第一层楼待命。当某层站有门厅呼叫信号时,则“忙梯”立即启动并定向运行去接该层站的乘客。

2.选用西门子S7-300系列PLC作为下位机,构成双电梯的控制系统,电梯逻辑控制系统的控制核心是PLC,哪些信号需要输入至PLC,PLC需要驱动哪些负载,以及采用何种编程方式,都决定着其内部I/O点数的分配,根据PLC的I/O节点使用原则,应留出一定的I/O点以做扩展时使用。

控制程序采用结构化设计,使用西门子配套软件STEP7进行编程设计,构建双电梯联控软件系统。

2. 双电梯联控的总体方案设计

电梯的结构与工作原理

电梯是垂直方向上运行的运输设备,由机械和电气两大

系统组成。

机械系统由曳引系统、轿厢、对重装置、导向系统、厅轿门、开关门系统、机械安全保护系统组成。其中曳引系统由曳引机、导向轮、曳引钢丝绳、曳引绳锥套等部件组成。导向系统由导靴、导轨架、导轨等部件组成。机械安全保护系统主要由缓冲器、限速器和安全钳、制动器、门锁等部件组成。厅轿门和开关系统由轿门、厅门、开关门机构、门锁及位置开关等部件组成。

曳引绳两端分别连着轿厢和对重,缠绕在曳引轮和导向轮上,曳引电动机通过减速器变速后带动曳引轮转动,靠曳引绳与曳引轮摩擦产生的牵引力,实现轿厢和对重的升降运动,达到运输目的。固定在轿厢上的导靴可以沿着安装在建筑物井道墙体上的固定导轨往复升降运动,防止轿厢在运行中偏斜或摆动。常闭块式制动器在电动机工作时松闸,使电梯运转,在失电情况下制动,使轿厢停止升降,并在指定层站上维持其静止状态,供人员和货物出入。轿厢是运载乘客或其他载荷的箱体部件,对重用来平衡轿厢载荷、减少电动机功率。补偿装置用来补偿曳引绳运动中的张力和重量变化,使曳引电动机负载稳定,轿厢得以准确停靠。电气系统实现对电梯运动的控制,同时完成选层、平层、测速、照明工作。指示呼叫系统随时显示轿厢的运动方向和所在楼层位置。安全装置保证电梯运行安全。

双电梯控制方案总体设计

使用多电梯的场合一般是单电梯无法满足建筑物内的交通需要,如客流量、等候时间等。因此,使用双电梯或更多电梯需要解决的主要问题是多电梯协调工作方式和以等候时间最短为目标的联控逻辑算法。本设计研究两部电梯的联控技术,进行了控制方案的总体设计。

双电梯控制方案的系统框架如图2-1所示。基本思路是采用计算机+可编程控制器结构。由可编程控制器完成数据和状态采集、控制任务,并将状态数据传输给计算机。计算机完成监控任务,并将管理指令输出给可编程控制器。

图2-1 双电梯控制方案的系统框架

双电梯的信号关系如图2-1所示,在该系统中可编程控制器(PLC)是核心部分,两部电梯的内部呼叫信号、每层楼的外部呼叫信号、电梯的运行方向信号、两部电梯的载重信号、以及两部电梯当前所在层信号输入到PLC中,PLC根据接收的信号状态,按照已设计好的逻辑算法进行运算,并输出控制信号,来控制两部电梯电机的正转与反转、何时开门何时关门、电梯楼层和运行方向的现实的显示、以及超重后的报警等。同时,根据预先设计,PLC将需要监控和存入数据库的信息传送个上位机(计算机)。除接受PLC传输的信息外,计算机可以根据管理需要,向PLC发出控制指令。

输入到PLC的控制信号有:运行方式选择(如自动、有

司机、检修、消防运行方式等)、运行控制、轿内指令、层站召唤、安全保护信息、旋转编码器光电脉冲、开关门及限位信号、门区和平层信号等,通过PLC的控制来判定电梯的运行方向,是否开关门,显示出当前的楼层,在超载的情况下发出警报。

对于控制电梯一次完整的运行过程,就是曳引电动机从起动、匀速运行到减速停车的过程。PLC接收来自操作面板和呼梯盒的召唤信号、轿厢和门系统的功能信号以及井道和变频器的状态信号,经程序判断与运算后实现电梯的集选控制,PLC在输出显示和监控信号的同时向变频器发出运行方向、启动、加速、减速、运行和制动停梯信号。曳引电动机正转(或反转)控制及高速控制信号有效时,电动机开始起动,起动后维持一定的速度一直运行,完成起动及运行段的工作。当换速信号到来后,PLC撤消高速信号,同时输出爬行信号。减速过程开始,当电机达到一定速度时电梯停止减速,并以此速度爬行。当平层信号到来后,PLC撤消爬行信号,同时发出停梯信号,此时电动机减速到停止转动,电梯停梯。正常情况下,在整个起动、运行、减速爬行段内,变频器的零速输出点一直是闭合的,减速至零之后,零速输出点断开,通过PLC抱闸及自动开门。本设计主要是针对为了使人打到最短等候时间,进行逻辑分析,并可以后续设计出相应的PLC控制程序。

3. 电梯运行的总体逻辑算法

(一)2部电梯分别为A,B,YA、YB分别为A、B电梯的运行值,它反映了电梯当前运行的楼层。YA:电梯当前所在楼层数(B梯与此类似,以下均以A梯为例)。如果电梯停在某层待命时,运行值为上行时的值。设H为厅内某一外召唤按钮按下时对应的键值,H=所在楼层数。

(二)设定变量MA,MB;其中MA、MB分别为A梯顺向内呼最值和B梯顺向内呼最值;当电梯向上运行时,MA=max(A梯内呼登记表);当电梯下行时,MA=min(A梯内呼登记表)。电梯相应的最短距离为S。B梯与A梯相同。

以A梯为例电梯响应时间的确定:当外呼信号与电梯运行方向相反时,就是电梯由当前楼层顺向运行到内呼最值楼层、再由内呼最值楼层运行到外呼信号楼层的楼层数之和,即S=|YA-MA|+|MA-H|。

当外呼信号与电梯运行方向相同时,外呼信号在电梯运行前方,则就是电梯运行到外呼楼层的楼层数,即S=|YA-H|。

如果外呼信号在电梯运行后方,则值由3部分构成,电梯当前值到顺向最值楼层的距离、顺向最值楼层到外部后向呼梯最值楼层的距离和外呼信号到外部后向呼梯最值楼层的距离,即:S=|YA-MA|+|MA-H|。B梯计算方法与A梯一致。

为了达到乘客等候时间最短,使A、B两部电梯的响应时间作比较,响应时间短的来完成乘客的需求。

参考文献

中华人民共和国城乡建设环境保护部,住宅建筑设计规范,1987年

余华、孙德堡,智能大厦中的电梯群控系统,电工技术杂志,XX年

朱晓东、曾庆山,基于PLC的电梯最小等候时间的集选控制算法,轻重运输机械,XX

系统总体方案设计报告2 总体方案设计主要由以下几部分组成:

叙述本工程区位:建筑总面积、总高度;属民用建筑级别;工程意义;建筑平面功能、用途等。

包括国家现行规范、标准、行业标准;建筑单位、主管部门有关文件及具体意见与要求;

智能化子系统配置的总体要求及目标。

列出本工程项目所需设计的所有智能化子系统名称,根据不同性质的工程,建筑单位不同的要求而设置不同的智能化子系统。

五星级酒店应该具有较完整的智能化系统,以提高酒店的品位和档次,供宾客一个舒适、安全、便利、休闲的生活和商务环境。酒店智能化系统工程的方案设计应涉及对各子系统的需求和所包括的内容。五星级酒店宜设置以下智能化子系统,方案设计时可根据建设方的需要,予以确认。

1.火灾自动报警及联动控制系统(由强电工种设计);

2.通信网络系统,包括程控交换机和设置联通、移动、小灵通等移动通信盲区覆盖系统。

3.计算机网络系统,采用千兆以太网技术,实现千兆到楼层,百兆到桌面的带宽。

4.酒店机电设备自动监控系统;

5.结构化综合布线系统;

6.安全防范系统,包括闭路电视监控及防盗报警系统、门禁系统、电子巡更系统等。

7.卫星接收及有线电视系统;

8.背景音乐和公共广播系统;

9.酒店经营管理系统(一般由酒店管理考虑,智能化设计已经提供了信息系统的物理通道);

10.电子门锁管理系统(一般在装修阶段解决);

11.其他智能化应用:

①VOD点播系统;

②卡拉OK点播系统;

③多媒体会议系统,包括扩声、投影、摄录系统、集控系统、视频会议、同声传译系统等。

④大屏幕显示及触摸式多媒体信息查询系统;

⑤智能照明控制系统用于大堂、多功能厅、总统套房;

⑥智能一卡通系统用于门禁、停车场管理、消费、考勤

等;

12.智能化集成系统所实现的目标;

13.电子信息系统防雷;

高层公寓是一个面向二十一世纪的现代化住宅小区,智能化系统的建设将为人们提供一个功能完善、舒适优美的生活空间。同时,一个集宽带网络、有线电视、可视对讲,防盗报警和闭路电视监控等高新技术为一体的智能化管理系统将使之成为新一代小区的典范。

高层公寓智能化系统功能建议参照建设部《全国住宅小区智能化系统示范工程建设要点与技术导则》所规定的二星级标准设置,方案设计时应包括以下三方面内容:

1.电子安全防范系统:

、周界防范报警系统,主要考虑非法闯入者翻越围墙进入公寓,以便提供有效的预警和警情处理。

、闭路电视监控系统,对室外主要通道、公寓出入口、电梯桥厢等场所严密监控,实行实时录像。

、联网型可视楼宇对讲系统(包含家庭安防报警的接入方案:如住户防盗、煤气泄漏探测、手动报警系统、门磁等接入可视楼宇对讲系统)保证住户的安全。

2.家居信息/视听和控制网络系统

、计算机宽带网络系统。宽带网络建成后保证千兆光纤到幢,使住户以不少于10M的速率连接Internet,提供家庭

办公、家庭娱乐、远程医疗教学、图书资料查询等使用的网络平台。

、860Mhz双向有线电视系统。

、电话系统应在公寓设总交接间,在每幢楼设分交接箱;每户电话进线均为二对线。

、工程以上信息系统的接入方式,例如采用家庭智能接线箱布线系统,用于住户电脑共享、电话接驳、电视分配的功能。交代在平面设计时要作到的标准,在此的基础上住户入住时可根据装修的需要,从家庭智能接线箱连接终端设备,给住户带来方便。

、家庭控制器,包括家庭报警、紧急求救、家用电器监控等控制网络系统设计要求。

3.小区信息和物业管理系统

、建议考虑公寓“一卡通”管理系统,用于住户信息、消费等管理;

、拟建公寓物业管理局域网系统,给住户提供更多的信息服务;

、根据当地的情况,考虑三表远程采集系统。

、室外背景音响系统;

、出入口的管理系统:主要是对地下停车库采用感应式停车库计算机管理系统的方案。建筑智能化方案设计除了上述总体方案设计外,尚需提供智能化子系统投资估算。

系统设计报告模板

CRM系统设计 1. 功能模块划分及描述 系统功能模块结构图 系统功能模块描述 2. 系统配置设计 3.系统流程图设计 4. 代码设计 5. 数据库设计 概念结构设计 逻辑设计 6. 系统模块设计

1. 功能模块划分及描述 客户关系管理系统是一个典型的数据库开发应用程序,由客户管理模块、库存管理模块、服务管理模块、报表管理模块、email管理模块、用户管理模块组成,系统功能模块及描述如下。 系统功能模块结构图 图1 系统功能模块结构图 系统功能模块描述 1、客户管理模块 该模块主要功能是对客户信息、客户联系人信息、合同信息进行添加、删除、查询等操作。 2、库存管理模块 该模块的主要功能是管理入库、出库信息、产品信息进行管理,其中包括对库存信息、产品信息进行添加、删除、查询等操作。 3、服务管理模块 该模块主要功能是对客户反馈信息进行添加、删除、查询等操作。

4、报表管理模块 该模块主要通过查询条件,对各种信息进行查询,并将得到的结果导出Excel表、进行打印报表等操作(其中信息包括:客户信息、联系人信息、反馈客户信息、库存信息)。 5、邮件管理模块 该模块主要管理客户联系人email地址信息,对企业客户之间的email文件进行管理,向客户发送邮件。 6、用户管理 该模块主要管理用户信息的添加、删除等操作,并设置用户的使用权限。 2. 系统配置设计 硬件平台: CPU:P4 ; 内存:2GB以上。 软件平台: 操作系统:Windows xp/ Windows 7/ Windows 2003; 数据库:SQL Server 2000; 浏览器:,推荐使用; Web服务器:; 分辨率:最佳效果1024*768。 3.系统流程图设计 系统流程图又叫事务流程图,是在计算机事务处理应用进行系统分析时常用的一种描述方法(另一个是数据流图),它描述了计算机事务处理中从数据输入开始到获得输出为止,各个处理工序的逻辑过程。 根据需求分析的要求对系统进行设计,系统流程图如图2:

《管理信息系统》课程设计实验报告

《管理信息系统》课程设计实验报告 课程名称:管理信息系统 指导老师: ******* 院系:商学院 专业班级: ******** 姓名: ******** 学号: ******** 实验日期: 2011.7.11 实验地点:一机房

《管理信息系统》课程设计任务书 一.课程设计目的及意义: 《管理信息系统》课程设计是在完成《管理信息系统》课程学习之后的一次实践性教 学,是本课程理论知识的一次综合运用。通过本课程设计,能够进一步加深对信息、信息系 统、管理信息系统等基础理论知识的理解,能初步掌握结构化的生命周期法、面向对象法等 系统工程方法,进一步加强熟练应用管理信息系统的操作技能,并能够借助于管理信息系统 解决实际问题。 二.课程设计要求: 1.本课程设计时间为一周。 2.本课程设计以教学班为单位进行上机操作及实验。 3.按照任务要求完成课程设计内容。 三.课程设计任务要求: 1.任务内容:进入山东轻工业学院主页,在“网络资源”区域进入“网络教学平台”,输入各自的用户名和密码(学生学号及密码),进入本网络教学平台系统,在充分熟悉本系统 的前提下,完成下列任务要求。 2.任务要求: ①按照课程讲解的系统分析步骤和理论对本系统进行系统分析。 ②绘制不少于 3 个的主要业务流程图。 ③描述上述主要业务流程图的逻辑处理功能。 ④分析本系统的优缺点,提出改进意见,并描述改进的逻辑处理功能,绘制业务流 程图。 四.课程设计评分标准: 按照《管理信息系统课程设计大纲》的要求,本课程 1 学分,采用百分制计分,其中 任务要求②占30 分,任务要求③占30 分,任务要求④占30 分,考勤及实践表现占10 分。五.本课程设计自2011 年 6 月 27 日至 2011 年 7 月 1 日。

电子系统设计 实验报告

本科生实验报告 实验课程电子系统设计 学院名称 专业名称测控技术与仪器 学生姓名 学生学号 指导教师 实验地点 实验成绩 二〇年月——二〇年月

实验一、运放应用电路设计 一、实验目的 (1)了解并运用NE555定时器或者其他电路,学会脉冲发生器的设计,认识了解各元器件的作用和用法。 (2)掌握运算放大器基本应用电路设计 二、实验要求 (1)使用555或其他电路设计一个脉冲发生器,并能满足以下要求:产生三角波V2,其峰峰值为4V,周期为0.5ms,允许T有±5%的误差。 V2/V +2 图1-1 三角波脉冲信号 (2)使用一片四运放芯片LM324设计所示电路,实现如下功能:设计加法器电路,实现V3=10V1+V2,V1是正弦波信号,峰峰值0.01v,频率10kHz。 V3 图1-2 加法电路原理

三、实验内容 1、555定时器的说明: NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率的脉波讯号。 a. NE555的特点有: 1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。其延时范围极广,可由几微秒至几小时之久。 2.它的操作电源范围极大,可与TTL,CMOS等逻辑闸配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。 3.其输出端的供给电流大,可直接推动多种自动控制的负载。 4.它的计时精确度高、温度稳定度佳,且价格便宜。 b. NE555引脚位配置说明下: NE555接脚图: 图1-3 555定时器引脚图 Pin 1 (接地) -地线(或共同接地) ,通常被连接到电路共同接地。 Pin 2 (触发点) -这个脚位是触发NE555使其启动它的时间周期。触发信号上缘电压须大于2/3 VCC,下缘须低于1/3 VCC 。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

系统设计报告模板范文

系统设计报告模板

CRM系统设计 1. 功能模块划分及描述 1.1系统功能模块结构图 1.2系统功能模块描述 2. 系统配置设计 3.系统流程图设计 4. 代码设计 5. 数据库设计 5.1概念结构设计 5.2逻辑设计 6. 系统模块设计

1. 功能模块划分及描述 客户关系管理系统是一个典型的数据库开发应用程序,由客户管理模块、库存管理模块、服务管理模块、报表管理模块、email管理模块、用户管理模块组成,系统功能模块及描述如下。 1.1系统功能模块结构图 图1 系统功能模块结构图 1.2系统功能模块描述 1、客户管理模块 该模块主要功能是对客户信息、客户联系人信息、合同信息进行添加、删除、查询等操作。

2、库存管理模块 该模块的主要功能是管理入库、出库信息、产品信息进行管理,其中包括对库存信息、产品信息进行添加、删除、查询等操作。 3、服务管理模块 该模块主要功能是对客户反馈信息进行添加、删除、查询等操作。 4、报表管理模块 该模块主要经过查询条件,对各种信息进行查询,并将得到的结果导出Excel表、进行打印报表等操作(其中信息包括:客户信息、联系人信息、反馈客户信息、库存信息)。 5、邮件管理模块 该模块主要管理客户联系人email地址信息,对企业客户之间的email文件进行管理,向客户发送邮件。 6、用户管理 该模块主要管理用户信息的添加、删除等操作,并设置用户的使用权限。 2. 系统配置设计 硬件平台: CPU:P4 2.8GHz; 内存:2GB以上。 软件平台:

操作系统:Windows xp/ Windows 7/ Windows ; 数据库:SQL Server ; 浏览器:IE6.0,推荐使用IE8.0; Web服务器:IIS5.0; 分辨率:最佳效果1024*768。 3.系统流程图设计 系统流程图又叫事务流程图,是在计算机事务处理应用进行系统分析时常见的一种描述方法(另一个是数据流图),它描述了计算机事务处理中从数据输入开始到获得输出为止,各个处理工序的逻辑过程。 根据需求分析的要求对系统进行设计,系统流程图如图2:

系统设计实验报告

系统设计实验报告——远程在线考试系统

目录软件需求说明书························1 引言··························· 1.1编写目的······················· 1.2背景························· 1.3定义························· 1.4参考资料······················· 2 程序系统的结构························ 3 程序设计说明·························

1引言 1.1编写目的 本文档的编写目的是为远程在线考试系统项目的设计提供: a.系统的结构、设计说明; b.程序设计说明; c. 程序(标识符)设计说明 1.2背景 随着网络技术的飞速发展,现在很多的大学及社会上其它的培训部门都已经开设了远程教育,并通过计算机网络实现异地教育。但是,远程教育软件的开发,就目前来说,还是处于起步的阶段。因此,构建一个远程在线考试系统,还是有很大的实际意义的。 根据用户提出的需求,本项目组承接该系统的开发工作 a.开发软件系统的名称:远程在线考试系统 b.本项目的任务提出者:福州大学软件学院 c.用户:各类大专院校学校、中小学校。 1.3定义 远程在线考试系统 远程在线考试系统是基于用Browser/Web模式下的,可以实现考试题库管理、多用户在线考试、自动阅卷功能的系统。

1.4参考资料 ?GB 8566 计算机软件开发规范 ?GB 8567 计算机软件产品开发文件编制指南?软件设计标准 ?《ASP与SQL-Server2000》清华大学出版社?《可行性研究报告》 ?《项目计划文档》 ? 2程序系统的结构 3程序1(标识符)设计说明

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

数字电子系统设计报告模板

宁波工程学院 数字电子系统设计报告 设计题目: 学院名称:电子与信息工程学院 专业班级:电科12-X 学生姓名:XXX 学号:13401090XXX 指导教师:苏树兵 起讫时间:2016年06月20日至2016年06月29日

目录第一章设计任务 1.1 基本要求 1.2 发挥部分 第二章整体方案设计 2.1 基本原理及整体系统框图 2.2 算法设计 第三章硬件电路设计(按模块)3.1 XX电路设计(有几个写几个) 3.2 整体电路图 3.3 整机元件清单 第四章系统软件设计 4.1 主程序流程图 4.2 子程序流程图(有几个写几个) 第五章系统测试与结果分析 5.1 XX电路的调测 5.2 整体指标测试(有数据的需要附上)5.3 结果分析 第六章设计小结 6.1 设计任务完成情况 6.2 问题及改进 6.3心得体会 第七章任务分配及自评分 附录1 系统程序 附录2 实物图 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

计算机系统设计报告书模板

课程设计报告目录

一、课程设计概述: 本次数据结构课程设计共完成三个题:一元稀疏矩阵多项式计算器、稀疏矩阵的操作、Josephu问题。 使用语言:C 编译环境:vc6.0 二、课程设计题目一 成绩分析文档资料 [问题描述] 录入、保存一个班级学生多门课程的成绩,并对成绩进行分析。 [需求分析] 1.通过键盘输入各学生的多门课程的成绩,建立相应的文件input.dat 2.对文件input.dat中的数据进行处理,要求具有如下功能: a.按各门课程成绩排序,并生成相应的文件输出 b.计算每人的平均成绩,按平均成绩排序,并生成文件 c.求出各门课程的平均成绩、最高分、最低分、不及格人数、

60-69分人数、70-79分人数、80-89分人数、90分以上人数 d.根据姓名或学号查询某人的各门课成绩,重名也要能处理 3.界面美观 [概要设计] -=ADT=- { Status CreateList(DataRecond* DR, int n); //创建成绩表 Status SortScore(DataRecond* DR,int n); //按各科成绩排序并存于文件 Status Partition(KeyWord* RL, int low, int high); //快速排序的第一趟 Status QSort(KeyWord* RL, int low, int high); //快速排序 Status QuickSort(KeyWord* RL,int n); //快速排序

Status EveryAvageScore(DataRecond* DR, int n); //计算每科平均成绩 Status CaluAverage(int *temp, int n); //计算平均成绩 Status ScoreProcess(DataRecond* DR); //成绩处理 Status MaxScore(int *temp, int n); //求最大分数 Status MinScore(int *temp, int n); //求最小分数 Status ScoreSegment(DataRecond* DR); //求分数段 Status Process(int *temp,int n); //主处理函数 Status NameQuery(DataRecond* DR); //按名字查找

操作系统课程设计实验报告

河北大学工商学院 课程设计 题目:操作系统课程设计 学部信息学部 学科门类电气信息 专业计算机 学号2011482370 姓名耿雪涛 指导教师朱亮 2013 年6月19日

主要内容 一、设计目的 通过模拟操作系统的实现,加深对操作系统工作原理理解,进一步了解操作系统的实现方法,并可练习合作完成系统的团队精神和提高程序设计能力。 二、设计思想 实现一个模拟操作系统,使用VB、VC、CB等windows环境下的程序设计语言,以借助这些语言环境来模拟硬件的一些并行工作。模拟采用多道程序设计方法的单用户操作系统,该操作系统包括进程管理、存储管理、设备管理、文件管理和用户接口四部分。 设计模板如下图: 注:本人主要涉及设备管理模块

三、设计要求 设备管理主要包括设备的分配和回收。 ⑴模拟系统中有A、B、C三种独占型设备,A设备1个,B设备2个,C设备2个。 ⑵采用死锁的预防方法来处理申请独占设备可能造成的死锁。 ⑶屏幕显示 注:屏幕显示要求包括:每个设备是否被使用,哪个进程在使用该设备,哪些进程在等待使用该设备。 设备管理模块详细设计 一、设备管理的任务 I/O设备是按照用户的请求,控制设备的各种操作,用于完成I/O 设备与内存之间的数据交换(包括设备的分配与回收,设备的驱动管理等),最终完成用户的I/O请求,并且I/O设备为用户提供了使用外部设备的接口,可以满足用户的需求。 二、设备管理函数的详细描述 1、检查设备是否可用(主要代码) public bool JudgeDevice(DeviceType type) { bool str = false; switch (type) { case DeviceType.a: {

电子系统综合设计实验报告

电子系统综合设计实验报告 所选课题:±15V直流双路可调电源 学院:信息科学与工程学院 专业班级: 学号: 学生姓名: 指导教师: 2016年06月

摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。最后实物模型的输出电压在±13左右波动。 1、任务需求 ⑴有+15V和-15V两路输出,误差不超过上下1.5V。(但在本次设计中,没有所需变压器,所以只能到±12.5V) ⑵在保证正常稳压的前提下,尽量减小功效。 ⑶做出实物并且可调满足需求 2、提出方案 直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。 ⑴单相桥式整流 作用之后的输出波形图如下:

⑵电容滤波 作用之后的输出波形图如下: ⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。 LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)

3、详细电路图: 因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。 参数计算: 滤波电容计算: 变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V 的点解电容。另外,由于实际电阻或电路

温度测量控制系统的设计与制作实验报告(汇编)

北京电子科技学院 课程设计报告 ( 2010 – 2011年度第一学期) 名称:模拟电子技术课程设计 题目:温度测量控制系统的设计与制作 学号: 学生姓名: 指导教师: 成绩: 日期:2010年11月17日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、总体设计思想 (3) 四、系统框图及简要说明 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (5) 七、仿真结果 (8) 八、实测结果分析 (9) 九、心得体会 (9) 附录I:元器件清单 (11) 附录II:multisim仿真图 (11) 附录III:参考文献 (11)

一、电子技术课程设计的目的与要求 (一)电子技术课程设计的目的 课程设计作为模拟电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握电子系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课模拟电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型电子系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 (二)电子技术课程设计的要求 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 教师应事先准备好课程设计任务书、指导学生查阅有关资料,安排适当的时间进行答疑,帮助学生解决课程设计过程中的问题。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 (一)课程设计名称 设计题目:温度测量控制系统的设计与制作 (二)课程设计要求 1、设计任务 要求设计制作一个可以测量温度的测量控制系统,测量温度范围:室温0~50℃,测量精度±1℃。 2、技术指标及要求: (1)当温度在室温0℃~50℃之间变化时,系统输出端1相应在0~5V之间变化。 (2)当输出端1电压大于3V时,输出端2为低电平;当输出端1小于2V时,输出端2为高电平。 输出端1电压小于3V并大于2V时,输出端2保持不变。 三、总体设计思想 使用温度传感器完成系统设计中将实现温度信号转化为电压信号这一要求,该器件具有良好的线性和互换性,测量精度高,并具有消除电源波动的特性。因此,我们可以利用它的这些特性,实现从温度到电流的转化;但是,又考虑到温度传感器应用在电路中后,相当于电流源的作用,产生的是电流信号,所以,应用一个接地电阻使电流信号在传输过程中转化为电压信号。接下来应该是对产生电压信号的传输与调整,这里要用到电压跟随器、加减运算电路,这些电路的实现都离不开集成运放对信号进行运算以及电位器对电压调节,所以选用了集成运放LM324和电位器;最后为实现技术指标(当输出端1电压大于3V时,输出端2为低电平;当输出端1小于2V时,输出端2为高电平。输出端1电压小于3V并大于2V时,输出端2保持不变。)中的要求,选用了555定时器LM555CM。 通过以上分析,电路的总体设计思想就明确了,即我们使用温度传感器AD590将温度转化成电压信号,然后通过一系列的集成运放电路,使表示温度的电压放大,从而线性地落在0~5V这个区间里。最后通过一个555设计的电路实现当输出电压在2与3V这两点上实现输出高低电平的变化。

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

系统软件设计报告模板

(项目名 称) 系统设计报 告 (部门名称) 文件编号:TD202 文件版次:QMS2005

沈阳东软软件股份有限公司

修改记录

目录 0 报告编制要求 (5) 1 引言 (5) 1.1文档编制目的 (5) 1.2背景 (6) 1.3词汇表 (6) 1.4参考资料 (6) 2 总体设计 (6) 2.1软件体系结构 (6) 2.2系统运行体系 (6) 2.2.1运行体系图 (6) 2.2.2 程序/模块对应表 (7) 2.3系统物理结构 (7) 2.4技术路线 (7) 3 系统接口设计 (7) 3.1用户接口 (7) 3.2外部系统接口 (8) 3.3模块间接口 (8) 4 子系统/ 模块设计 (8) 4.1 子系统 /模块 1(编号 /名称) (9) 4.1.1 功能 (9) 4.1.2 性能 (9) 4.1.3模块结构 (9) 4.1.4 子模块接口设计 (9) 4.2子系统 /模块 2(编号 /名称) (9) 5 数据结构与数据库设计 (9) 5.1 面向对象数据的数据结构 (9) 5.2面向对象数据库设计 (10) 5.3数据安全性 (10) 5.4对象数据 /模块对应表 (10) 6 外部存储结构设计 (10) 7 故障处理说明 (10) 8 尚需解决的问题 (11) 9 附件 (11) 编写指南: 本模板力图给出系统设计阶段可能包括的基本信息,重点在于和需求分析文档相联系。描述系统整体

情况。如果某个章节在项目或当前阶段中无法描述,则可保留其标题,注明“不适用” ;如果需要对本模板的个别章节详细描述,也可将其形成单独的文档,成为本文档附件。 若文档中的某个章节已经在其他项目文档中加以描述,可保留标题,注明“参见(文档编号)(文档名称)(条款)”。 形成正式文档后须删除斜体字内容。 0 报告编制要求 这里列出本系统设计报告编制的经验性要求,须由系统设计人员参照其进行裁剪以确定本次报告编制的相关规定。 1引言 1.1文档编制目的 说明编写这份报告的目的,指出预期的读者 1.2背景叙述系统设计阶段的目标、作用范围以及其他应向读者说明的理解本报告所

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

相关主题