搜档网
当前位置:搜档网 › IC测试基本原理

IC测试基本原理

IC测试基本原理
IC测试基本原理

本系列一共四章,下面是第一部分,主要讨论芯片开发和生产过程中的IC测试基本原理,内容覆盖了基本的测试原理,影响测试决策的基本因素以及IC测试中的常用术语。

器件测试的主要目的是保证器件在恶劣的环境条件下能完全实现设计规格书所规定的功能及性能指标。用来完成这一功能的自动测试设备是由计算机控制的。因此,测试工程师必须对计算机科学编程和操作系统有详细的认识。测试工程师必须清楚了解测试设备与器件之间的接口,懂得怎样模拟器件将来的电操作环境,这样器件被测试的条件类似于将来应用的环境。

首先有一点必须明确的是,测试成本是一个很重要的因素,关键目的之一就是帮助降低器件的生产成本。甚至在优化的条件下,测试成本有时能占到器件总体成本的40%左右。良品率和测试时间必须达到一个平衡,以取得最好的成本效率。

第一节不同测试目标的考虑

依照器件开发和制造阶段的不同,采用的工艺技术的不同,测试项目种类的不同以及待测器件的不同,测试技术可以分为很多种类。

器件开发阶段的测试包括:

·特征分析:保证设计的正确性,决定器件的性能参数;

·产品测试:确保器件的规格和功能正确的前提下减少测试时间提高成本效率

·可靠性测试:保证器件能在规定的年限之内能正确工作;

·来料检查:保证在系统生产过程中所有使用的器件都能满足它本身规格书要求,并能正确工作。

制造阶段的测试包括:

·圆片测试:在圆片测试中,要让测试仪管脚与器件尽可能地靠近,保证电缆,测试仪和器件之间的阻抗匹配,以便于时序调整和矫正。因而探针卡的阻抗匹配和延时问题必须加以考虑。

·封装测试:器件插座和测试头之间的电线引起的电感是芯片载体及封装测试的一个首要的考虑因素。·特征分析测试,包括门临界电压、多域临界电压、旁路电容、金属场临界电压、多层间电阻、金属多点接触电阻、扩散层电阻、接触电阻以及FET寄生漏电等参数测试。

通常的工艺种类包括:

· TTL

· ECL

· CMOS

· NMOS

· Others

通常的测试项目种类:

·功能测试:真值表,算法向量生成。

·直流参数测试:开路/短路测试,输出驱动电流测试,漏电电源测试,电源电流测试,转换电平测试等。·交流参数测试:传输延迟测试,建立保持时间测试,功能速度测试,存取时间测试,刷新/等待时间测试,上升/下降时间测试。

第二节直流参数测试

直流测试是基于欧姆定律的用来确定器件电参数的稳态测试方法。比如,漏电流测试就是在输入管脚施加电压,这使输入管脚与电源或地之间的电阻上有电流通过,然后测量其该管脚电流的测试。输出驱动电流测试就是在输出管脚上施加一定电流,然后测量该管脚与地或电源之间的电压差。

通常的DC测试包括 :

·接触测试(短路-开路):这项测试保证测试接口与器件正常连接。接触测试通过测量输入输出管脚上保护二极管的自然压降来确定连接性。二级管上如果施加一个适当的正向偏置电流,二级管的压降将是0.7V 左右,因此接触测试就可以由以下步骤来完成:

1.所有管脚设为0V,

2.待测管脚上施加正向偏置电流”I”,

3.测量由”I”引起的电压,

4.如果该电压小于0.1V,说明管脚短路,

5.如果电压大于1.0V,说明该管脚开路,

6.如果电压在0.1V和1.0V之间,说明该管脚正常连接。

·漏电(IIL,IIH,IOZ):理想条件下,可以认为输入及三态输出管脚和地之间是开路的。但实际情况,它们之间为高电阻状态。它们之间的最大的电流就称为漏电流,或分别称为输入漏电流和输出三态漏电流。漏电流一般是由于器件内部和输入管脚之间的绝缘氧化膜在生产过程中太薄引起的,形成一种类似于短路的情形,导致电流通过。

·三态输出漏电IOZ是当管脚状态为输出高阻状态时,在输出管脚使用VCC(VDD)或GND(VSS)驱动时测量得到的电流。三态输出漏电流的测试和输入漏电测试类似,不同的是待测器件必须被设置为三态输出状态

·转换电平(VIL,VIH)。转换电平测量用来决定器件工作时VIL和VIH的实际值。(VIL是器件输入管脚从高变换到低状态时所需的最大电压值,相反,VIH是输入管脚从低变换到高的时候所需的最小电压值)。这些参数通常是通过反复运行常用的功能测试,同时升高(VIL)或降低(VIH)输入电压值来决定的。那个导致功能测试失效的临界电压值就是转换电平。这一参数加上保险量就是VIL或VIH规格。保险量代表了器件的抗噪声能力。

·输出驱动电流(VOL,VOH,IOL,IOH)。输出驱动电流测试保证器件能在一定的电流负载下保持预定的输出电平。VOL和VOH规格用来保证器件在器件允许的噪声条件下所能驱动的多个器件输入管脚的能力。

·电源消耗(IC C,IDD,IEE)。该项测试决定器件的电源消耗规格,也就是电源管脚在规定的电压条件下的最大电流消耗。电源消耗测试可分为静态电源消耗测试和动态电源消耗测试。静态电源消耗测试决定器件在空闲状态下时最大的电源消耗,而动态电源消耗测试决定器件工作时的最大电源消耗。

第三节交流参数测试

交流参数测试测量器件晶体管转换状态时的时序关系。交流测试的目的是保证器件在正确的时间发生状态转换。输入端输入指定的输入边沿,特定时间后在输出端检测预期的状态转换。

常用的交流测试有传输延迟测试,建立和保持时间测试,以及频率测试等。

传输延迟测试是指在输入端产生一个状态(边沿)转换和导致相应的输出端的状态(边沿)转换之间的延迟时间。该时间从输入端的某一特定电压开始到输出端的某一特定电压结束。一些更严格的时序测试还会包括以下的这些项目:

三态转换时间测试-

TLZ,THZ: 从输出使能关闭到输出三态完成的转换时间。

TZL,TZH: 从输出使能开始到输出有效数据的转换时间。

存储器读取时间-

从内存单元读取数据所需的时间。测试读取时间的步骤一般如下所示:

1.往单元A写入数据’0’,

2.往单元B写入数据’1’,

3.保持READ为使能状态并读取单元A的值,

4.地址转换到单元B,

5.转换时间就是从地址转换开始到数据变换之间的时间。

第三章芯片基础

基于DSP的测试技术

利用基于数字信号处理( DSP)的测试技术来测试混合信号芯片与传统的测试技术相比有许多优势。这些优势包括:

由于能并行地进行参数测试,所以能减少测试时间;

由于能把各个频率的信号分量区分开来(也就是能把噪声和失真从测试频率或者其它频率分量中分离出来),所以能增加测试的精度和可重复性。

能使用很多数据处理函数,比如说求平均数等,这对混合信号测试非常有用

采样和重建

采样用于把信号从连续信号(模拟信号)转换到离散信号(数字信号),重建用于实现相反的过程。自动测试设备(ATE)依靠采样和重建给待测芯片( DUT)施加激励信号并测量它们的响应。测试中包含了数学上的和物理上的采样和重建。图1中说明了在测试一个音频接口芯片时用到的各种采样和重建方法。

纯数学理论上,如果满足某些条件,连续信号在采样之后可以通过重建完全恢复到原始信号,而没有任何信号本质上的损失。不幸的是,现实世界中总不能如此完美,实际的连续信号和离散信号之间的转换总会有信号的损失。

我们周围物理世界上的许多信号,比如说声波、光束、温度、压力在自然界都是模拟的信号。现今基于信号处理的电子系统都必须先把这些模拟信号转换为能与数字存储,数字传

输和数学处理兼容的离散数字信号。接下来可以把这些离散数字信号存储在计算机阵列之中用数字信号处理函数进行必要的数学处理。

采样和重建在混合信号测试中的应用

重建是采样的反过程。此过程中,被采样的波形(脉冲数字信号)通过一个数模转换器( DAC)和反镜象滤波器一样的硬件电路转换为连续信号波形。重建会在各个采样点之间填补上丢失的波形。DAC和滤波器的组合就是一个重建的过程,可以用图2所示的冲击响应p(t)来表示。

由一个数据序列重建连续时间波形

混合信号测试介绍

最常见的混合信号芯片有:模拟开关,它的晶体管电阻随着数字信号变化;可编程增益放大器(PGAs),能用数字信号调节输入信号的放大倍数;数模转换电路(D/As or DACs);模数转换电路(A/Ds or ADCs);锁相环电路( PLLs),常用于生成高频基准时钟或者从异步数据流中恢复同步时钟。

终端应用和考虑

许多的应用,比如说移动电话,硬盘驱动器,调制解调器,马达控制器以及多媒体音频/视频产品等,都使用了放大器,滤波器,开关,数模/模数转换以及其它专用模拟和数字电路等多种混合信号电路。尽管测试器件内部每个独立电路非常重要,同样系统级的测试也非常重要。系统级测试保证电路在整体上能满足终端应用的要求。为了测试大规模的混合信号电路,我们必须对该电路的终端应用有基本的了解。图3所示是数字移动电话的模块图,此系统拥有许多复杂的混合信号部件,是混合信号应用很好的一个例子。

复杂混合信号应用的简单模块图:数字移动电话系统

基本的混合信号测试

直流参数测试

接触性测试(短路开路测试)用于保证测试仪到芯片接口板的所有电性连接正常。

漏电流测试是指测试模拟或数字芯片高阻输入管脚电流,或者是把输出管脚设置为高阻状态,再测量输出管脚上的电流。尽管芯片不同,漏电流大小会不同,但在通常情况下,漏电流应该小于1uA。漏电流主要用于检测以下几种缺陷:芯片内部不同层之间的短路或者漏电,DC偏差或者其他参数偏移等。这些缺陷最终会导致芯片不能正常工作。过大的漏电流也会引起器件的早期失效使终端系统故障。通常会进行两次漏电流测试,第一次是给待测管脚施加高电压(和电源电压相近的电压),另一次是给待测管脚施加接近零电压(或芯片负电源电压)。这两种测试分别称作高电平漏电流测试(IIH)和低电平漏电流测试(IIL)。

电源电流测试

测试芯片每个电源管脚消耗的电流是发现芯片是否存在灾难性缺陷的最快方法之一。每个电源管脚被设置为预定的电压,接下来用自动测试设备的测量单元测量这些电源管脚上的电流。这些测试一般在测试程序的开始时进行,以快速有效地选出那些完全失效的芯片。电源测试也用于保证芯片的功耗能满足终端应用的要求。

DAC和ADC测试规格

DAC和 ADC芯片必须执行一些特定的静态和动态参数检测。下一面一一介绍这些指标:DAC静态参数指标

分辨率(Resolution)是指DAC输出端所能变化的最小值。

满量程范围(FSR), 是指DAC输出信号幅度的最大范围,不同的DAC有不同的满量程范围。该范围可以是正和/或负电流,正和/或负电压。

最小有效位(LSB)大小是指输入代码变化最小数值时输出端模拟量的变化。

差分非线性度(DNL)用于测量小信号非线性误差。计算方法:本输入代码和其前一输入代码之间模拟量的变化减去1个最小有效位(LSB)大小。

单调性是指如果增加输入代码其输出模拟量也会保持相应的增加或反之的特性。该特性对使用在反馈环电路之中的DAC非常重要,它能保证反馈环不会被死锁在两个输入代码之间。

整体非线性度(INL)是指对一个输入代码所有非线性度的累计。这一参数可以

通过测量该代码相应的输出模拟量与起终点间直线之间的偏差来完成。

偏差(offset)是指DAC的输入代码为0时DAC输出模拟量与理想输出的偏差。

增益误差(gain error)是指DAC的输入代码为最大时DAC实际输出模拟量与理想输出的偏差。

精度(accuracy)是指DAC的输出与理想情况的偏差,包括了所有以上的这些错误,有时用百分比来表示。一般情况不直接测量该参数,通过静态错误的计算而得出其结果。

ADC静态参数规格

满量程范围(FSR)的定义与DAC的一样。

偏差(offset error)是指保证输出代码为0时的理想输入模拟量与实际输入模拟量的偏差。计算方法:输出第一个代码发生变化时ADC的实际输入模拟值减去1/2个最小有效位(LSB)大小再减去理想的0代码输入模拟值。

ADC的增益误差(gain error)是指满量程输入时输出代码的误差。计算方法:满量程输出代码加上1 1/2最小有效位(LSB)时输入值与满量程输出代码时输入之间的差值,再加上偏差(offset error)。

最小有效位(LSB)大小是通过测量最小的和最大的转换点后计算得到的。理

想情况下,模拟输入变化一个LSB值,将引起输出端变化一个代码。

差分非线性度(DNL)用于测量小信号非线性误差。计算方法:两个转换点之间的模拟输入量之差减去一个最小有效位(LSB)值。

无丢码(no missing code)是指该ADC在实际情况下能产生多少位输出。一个14位的ADC可能被说明为”无丢码位数为12(no missing codes to 12 bits)”,这就表明此ADC在输入变化时,其输出端的低两位代码不会发生变化,而只是其它的高12位代码能发生变化。

整体非线性度(INL)是指一个指定代码中点实际输入和理想传输函数线上输入之间的偏差。

ADC的测量精度概念与DAC的相似。

DAC动态参数指标

信噪比( SNR)是通过给DAC施加一个满量程的正弦波数字代码再分析其输出波形频率特性而得到的。DAC的输出经过滤波滤除基波分量以及所有谐波分量后剩下部分就是噪声。SNR 就是基波分量与所有噪声分量之和的比值。

信号与噪声谐波比(SNDR或SINAD)跟SNR的计算方法一样,只是谐波分量也计算在噪声内。

全谐波失真(THD)和SINAD相似,但它只包含谐波分量不包括噪声。在这个比值计算中,基波分量是分母而不是分子。DAC的输入为一个正弦波的数字代码;其输出是阶梯状的正弦波输出,需要通过一个滤波器进行平滑处理。经滤波后的输出波形再在频域进

行分析,寻找与基波频率相关的谐波分量。

互调失真(IM)用于由两种频率互调而产生的非谐波分量的失真。这种失真是由待测芯片的非线性度而引起的。测试该参数时:先给待测DAC输入两个频率分量的波形数字代码,再计算输出波形中的两个频率之和及之差信号分量。

最大转换速率(maximum conversion rates)是芯片规格书指标之一。当DAC 的输入变化时,其输出端需要一段时间才能得到稳定的相应输出值。最长的稳定时间就是最大转换速率。

建立时间(settling)是指输出值达到并稳定在预定值的+-1/2LSB范围或

某些别的规定范围之内所需的时间。

ADC动态参数指标

信噪比(SNR)的概念与运算放大器的概念一样。和THD测量类似,给ADC输入端加一个纯正弦波,通过ADC芯片的采样之后,输出一组数字代码。再用数字信号处理算法提取其中的SNR信息。SNR的单位是dB。

总谐波失真(THD)的概念与运算放大器的概念一样,但他们的方法不一样。给ADC输入一个纯正弦波,输出是一组由正弦波采样而来的数字代码,我们再把这些代码与理想正弦波特性进行比较。使用数字信号处理算法提取其中的总谐波失真信息。单位是dB。

信号与噪声谐波比( SNDR或SINAD)是基波分量与噪声及谐波失真分量总和的比值,单位是dB。

互调失真(IM)用于测试由两种频率互调而产生的非谐波分量的失真。这种失真是由待测芯片的非线性度而引起的。测试该参数时:先给待测ADC输入两个频率分量模拟波形,再计算输出数字代码中的两个频率之和及之差信号分量。

动态范围(Dynam range)是指ADC输入信号幅度的最大值与最小值的比值,单位是dB. 理想ADC的动态范围是20log(2bits-1)。

无杂波动态范围( SFDR)是指基波或载玻分量与其它非基波和载波的最大杂波的频率分量(可以是谐波或失真波)的比值,单位是dB。

到此为止,我们讨论了相对简单的存储器和逻辑芯片的测试技术,也介绍了复杂芯片的特殊测试要求。在接下来的最后一章,我们将介绍射频/无线芯片的测试。

第二章

芯片测试原理讨论在芯片开发和生产过程中芯片测试的基本原理,一共分为四章,下面将要介绍的是第二章。我们在第一章介绍了芯片的基本测试原理,描述了影响芯片测试方案选择的基本因素,定义了芯片测试过程中的常用术语。本文将讨论怎么把这些原理应用到存储器和逻辑芯片的测试上。接下来的第三章将介绍混合信号芯片的测试,第四章会介绍射频/无线芯片的测试。

存储器和逻辑芯片的测试

存储器芯片测试介绍

存储器芯片是在特定条件下用来存储数字信息的芯片。存储的信息可以是操作代码,数据文件或者是二者的结合等。根据特性的不同,存储器可以分为以下几类,如表1所示:

存储器的种类与特性

存储器术语的定义

在讨论存储器芯片测试之前,有必要先定义一些相关的术语。

写入恢复时间(Write Recovery Time):一个存储单元在写入操作之后和正确读取之前中间必须等待的时间。

保持时间(Hold Time):输入数据电平在锁存时钟之后必须保持的时间间隔。

Pause Test:存储器内容保持时间的测试。

刷新时间(Refresh Time):存储器刷新的最大时间间隔。

建立时间(Setup Time):输入数据电平在锁存时钟之前必须稳定保持的时间间隔。

上升和下降时间(Rise and Fall Times):功能速度测试是通过重复地进行功能测试,同时改变芯片测试的周期或频率来完成的。测试的周期通常使用二进制搜索的办法来进行改变。这些测试能够测出芯片的最

快运行速度。

写入恢复(Write Recovery):一个存储单元在写入操作之后和下一个存储单元能正确读取之前中间必须等待的时间。

读取时间(Access time):通常是指在读使能,片选信号或地址改变到输出端输出新数据的所需的最小时间。读取时间取决于存储器读取时的流程。

存储器芯片测试中的功能测试

存储器芯片必须经过许多必要的测试以保证其功能正确。这些测试主要用来确保芯片不包含一下类型的错误:

存储单元短路:存储单元与电源或者地段路

存储单元开路:存储单元在写入时状态不能改变相邻单元短路:根据不同的短路状态,相邻的单元会被写入相同或相反的数据地址

开路或短路:这种错误引起一个存储单元对应多个地址或者多个地址对应一个存储单元。这种错误不容易被检测,因为我们一次只能检查输入地址所对应的输出响应,很难确定是哪一个物理地址被真正读取。

存储单元干扰:它是指在写入或者读取一个存储单元的时候可能会引起它周围或者相邻的存储单元状态的改变,也就是状态被干扰了。

存储器芯片测试时用于错误检测的测试向量

测试向量是施加给存储器芯片的一系列的功能,即不同的读和写等的功能组合。它主要用于测试芯片的功能错误。常用的存储器测试向量如下所示,分别介绍一下他们的执行方式以及测试目的.

全”0”和全”1”向量: 4n行向量

执行方式:对所有单元写”1”再读取验证所有单元。对所有单元写”0”再读取验证所有单元。

目的:检查存储单元短路或者开路错误。也能检查相邻单元短路的问题。

棋盘格(Checkerboard)向量:4n行向量

执行方式:先运行0-1棋盘格向量,也就是第一个单元写1,第二个单元写0,第三个单元再写1,依此类推,直到最后一个单元,接下来再读取并验证所有单元。再运行一个1-0棋盘格向量,就是对所有单元写入跟0-1棋盘格完全相反的数据,再读取并验证所有单元。

目的:这是功能测试,地址解码和单元干扰的一个最基本最简单的测试向量。它还能检查连续地址错误或者干扰错误,也通常用它作为时间测量时的向量。

Patterns Marching向量:5n行向量

执行方式:先对所有单元写0.读取第一个单元,再对第一个单元写1。再读取第二个单元,再对第二个单元写1,依此类推,直到最后一个单元。最后再重复上述操作,只是写入数据相反。

目的:这是功能测试,地址解码和单元干扰的一个最基本最简单的测试向量。它还能检查连续地址错误或者干扰错误,也通常用它作为时间测量时的向量。

Walking向量:2n^2 行向量

执行方式:先对所有单元写0,再读取所有单元。接下来对第一个单元写1,读取所有单元,读完之后把第一个单元写回0。再对第二个单元写1,读取所有单元,读完之后把第二个单元写回0。依次类推,重复到最后一个单元。等上述操作完成之后,再重复上述操作,只不过写入的数据相反。

目的:检查所有的地址解码错误。它的缺点是它的运行时间太长。假设读写周期为500ns,对一个4K 的RAM进行wakling向量测试就需要16秒的测试时间。如果知道存储器的结构,我们可以只进行行或者列的walking以减少测试时间。

Galloping写入恢复向量:12^2n行向量

执行方式:对所有单元写0。再对第一个单元写1(基本单元),读取第二个单元, 然后返回来读取第一个单元。再对第二个单元写0,读第二个单元。接下来再在其它所有单元和基本单元之间重复这个操作。等第一个单元作为基本单元的操作完成之后,再把第二个单元作为基本单元,再作同样的操作。依此类推,直到所有单元都被当过基本单元。最后,再重复上述过程,但写入数据相反。

目的:这是功能测试,地址解码测试和干扰测试一个极好的向量。如果选择适当的时序,它还可以很好地用于写入恢复测试。同时它也能很好地用于读取时间测试。

其他的测试向量都类似于以上这些向量,都基于相同的核心理念。

动态随机读取存储器(DRAM)

动态随机读取存储器(DRAM)的测试有以下的一些特殊要求:

1.行地址和列地址在相同的地址线上输入(行列地址复用)。他们分别通过RAS和CAS信号来锁存。

2.需要在固定的时间间隔内对芯片进行刷新。

3.DRAM能够进行页操作。因此需要保持行地址不变而改变列地址(或者相反)。

逻辑测试介绍

逻辑芯片功能测试用于保证被测器件能够正确完成其预期的功能。为了达到这个目的,必须先创建测试向量或者真值表,才能进检测代测器件的错误。一个真值表检测错误的能力有一个统一的标准,被称作故障覆盖率。测试向量与测试时序结合在一起组成了逻辑功能测试的核心。

测试向量

测试向量—也称作测试图形或者真值表—由输入和输出状态组成,代表被测器件的逻辑功能。输入和输出状态是由字符来表示的,通常1/0用来表示输入状态,L/H/Z用来表示输出状态,X用来表示没有输入也不比较输出的状态。事实上可以用任何一套字符来表示真值表,只要测试系统能够正确解释和执行每个字符相应的功能。

测试向量是存储在向量存储器里面的,每行单独的向量代表一个单一测试周期的“原始“数据。从向量存储器里输入的数据与时序,波形格式以及电压数据结合在一起,通过pin electronic电路施加给待测器件。待测器件的输出通过pin electronic上的比较电路在适当的采样时间与存储在向量存储器里的数据进行比较。这种测试被称作存储响应。

除了待测器件的输入输出数据,测试向量还可能包含测试系统的一些运作指令。比如说,要包含时序信息等,因为时序或者波形格式等可能需要在周期之间实时切换。输入驱动器可能需要被打开或者关闭,输出比较器也可能需要选择性地在周期之间开关。许多测试系统还支持像跳转,循环,向量重复,子程序等微操作指令。不同的测试仪,其测试仪指令的表示方式可能会不一样,这也是当把测试程序从一个测试平台转移到另一个测试平台时需要做向量转换的原因之一。

比较复杂的芯片,其测试向量一般是由芯片设计过程中的仿真数据提取而来。仿真数据需要重新整理以满足目标测试系统的格式,同时还需要做一些处理以保证正确的运行。通常来说测试向量并不是由上百万行的独立向量简单构成的。测试向量或者仿真数据可以由设计工程师,测试工程师或者验证工程师来完成,但是要保证成功的向量生成,都必须对芯片本身和测试系统有非常全面地了解。

测试资源的消耗

当开发一个功能测试时,待测器件各方面的性能与功能都要考虑到。以下这些参数都要仔细地进行测试或设置:

VDD Min/Max (待测器件电源电压)

VIL/VIH (输入电压)

VOL/VOH (输出电压)

IOL/IOH (输出电流负载)

VREF (IOL/IOH转换电平)

测试频率(测试使用的周期)

输入信号时序(时钟/建立时间/保持时间/控制)

输入信号波形格式

输出时序(在周期内何时对输出进行采样)

向量顺序(向量文件内的start/stop位置)

上述的这些资源说明了功能测试会占用测试系统的大部分资源。功能测试主要由两大块组成,一是测试

向量文件,另外一块是包含测试指令的主测试程序。测试向量代表了测试待测器件所需的输入输出逻辑状态。主测试程序包含了保证测试仪硬件能产生必要的电压,波形和时序等所必需的信息。(如图所示)

功能测试

当功能测试执行的时候,测试系统把输入波形施加给待测器件,并一个周期一个周期,一个管脚一个管脚地监控输出数据。如果有任何的输出数据不符合预期的逻辑状态,电压或者时序,该测试结果被记录为错误。

到现在我们讨论了相对简单的存储器和数字芯片测试的基本测试技术。在此文接下来的两章里,我们将讨论测试更为复杂的混合信号和射频/无线芯片的独特要求。

1 引言

本系列一共四章,下面是第一部分,主要讨论芯片开发和生产过程中的IC测试基本原理,内容覆盖了基本的测试原理,影响测试决策的基本因素以及IC测试中的常用术语。

2 数字集成电路测试的基本原理

器件测试的主要目的是保证器件在恶劣的环境条件下能完全实现设计规格书所规定的功能及性能指标。用来完成这一功能的自动测试设备是由计算机控制的,因此,测试工程师必须对计算机科学编程和操作系统有详细的认识,测试工程师必须清晰了解测试设备与器件之间的接口,懂得怎样模拟器件将来的电操作环境,这样器件被测试的条件类似于将来的应用环境。

首先有一点必须明显的是,测试成本是一个很重要的因素,关键目的之一就是帮助降低器件的生产成本,甚至在优化的条件下,测试成本有时能占到器件总体成本的40%左右,良品率和测试时间必须达到一个平衡,以取得最好的成本效率。

2.1 不同测试目标的考虑

依照器件开发和制造阶段的不同,采用的工艺技术的不同,测试项目种类的不同以及待测器件的不同,测试技术可以分为很多种类。

器件开发阶段的测试包括:特征分析:保证设计的正确性,决定器件的性能参数;

产品测试:确保器件的规格和功能正确的前提下减少测试时间提高成本效率;可靠性测试:保证器件能在规定的年限之内正确工作;

来料检查:保证在系统生产过程中所有使用的器件都能满足它本身规格书要求,并能正确工作。

制造阶段的测试包括:

圆片测试:在圆片测试中,要让测试衣管脚与器件尽可能地靠近,保证电缆,测试衣和器件之间的阻抗匹配,以便于时序调整和矫正。因而探针卡的阻抗匹配和延时问题必须加以考虑。

封装测试:器件插座和测试头之间的电线引起的电感是芯片载体及封装测试的一个首要的考虑因素。

特征分析测试,包括门临界电压、多域临界电压、旁路电容、金属场临界电压、多层间电阻,金属多点接触电阻、扩散层电阻,接触电阻以及FET寄生漏电等参数测试。

通常的工艺种类包括:

TTL、ECL、CMOS、NMOS、Others

通常的测试项目种类:

功能测试:真值表、算法向量生成

直流参数测试:开路/短路测试,输出驱动电流测试、漏电电源测试、电源电流测试、转换电平测试等。

交流参数测试:传输延迟测试,建立保持时间测试、功能速度测试、存取时间测试、刷新/等待时间测试,上升/下降时间测试。

2.2 直流参数测试

直流测试是基于欧姆定律的用来确定器件电参数的稳态测试方法。比如,漏电流测试就是在输入管

脚施加电压,这使输入管脚与电源或地之间的电阻上有电流通过,然后测量其该管脚电流的测试,输出驱动电流测试就是在输出管脚上施加一定电流,然后测量该管脚与地或电源之间的电压差。

通常的DC测试包括:

接触测试(短路-开路):这项测试保证测试接口与器件正常连接,接触测试通过测量输入输出管脚上保护二极管的自然压降来确定连接性。二极管上如果施加一个适当的正向偏置电流,二极管的压降将是0.7V左右,因此接触测试就可以由以下步骤来完成:

(1)所有管脚设为0V,

(2)待测管脚上施加正向偏置电流"I",

(3)测量"I"引起的电压,

(4)如果该电压小于0.1V,说明管脚短路,

(5)如果电压大于1.0V,说明该管脚开路,

(6)如果电压在0.1V到1.0V之间,说明该管脚正常连接。

漏电(IIL,IIH,IOZ):理想条件下,可以认为输入及三态输出管脚和地之间是开路的,但实际情况,它们之间为高电阻状态,它们之间的最大的电流就称为漏电流。或分别称为输入漏电流和输出三态漏电流,漏电流一般是由于器件内部和输入管脚之间的绝缘氧化膜在生产过程中太薄引起的,形成一种类似于短路的情形,导致电流通过。

三态输出漏电IOZ是当管脚状态为输出高阻状态时,在输出管脚使用VCC(VDD)或GND(VSS)驱动时测量得到的电流,三态输出漏电流的测试和输入漏电测试类似,不同的是待测器件必须被设置为三态输出状态。

转换电平(VIL,VIH)。转换电平测量用来决定器件工作时VIL和VIH的实际值。(VIL是器件输入管脚从高变换到低状态时所需的最大电压值,相反,VIH是输入管脚从低变换到高的时候所需的最小电压值)。这些参数通常是通过反复运行常用的功能测试,同时升高(VIL)或降低(VIH)输入电压值来决定的,那个导致功能测试失效的临界电压值就是转换电平,这一参数加上保险量就是VIL或VIH规格,保险量代表了器件的抗噪声能力。

输出驱动电流(VOL,VOH,IOL,IOH)。输出驱动电流测试保证器件能在一定的电流负载下保持预定的输出电平,VOL和VOH规格用来保证器件在器件允许的噪声条件下所能驱动的多个器件输入管脚的能力。

电源消耗(ICC,IDD,IEE)。该项测试决定器件的电源消耗规格,也就是电源管脚在规定的电压条件下的最大电流消耗,电源消耗测试可分为静态电源消耗测试和动态电源消耗测试,静态电源消耗测试决定器件在空闲状态下时最大的电源消耗,而动态电源消耗测试决定器件工作时的最大电源消耗。

2.3 交流参数测试

交流参数测试测量器件晶体管转换状态时的时序关系。交流测试的目的是保证器件在正确的时间发生状态转换,输入端输入指定的输入边沿,特定的时间后在输出端检测预期的状态转换。

常用的交流测试有传输延迟测试,建立和保持时间测试,以及频率测试等。

传输延迟测试是指在输入端产生一个状态(边沿)转换和导致相应的输出端的状态(边沿)转换之间的延迟时间,该时间从输出端的某一特定的电压开始到输出端的某一特定的电压结束,一些更严格的时序测试还会包括以下的这些项目:

三态转换时间测试

TLZ,THZ:从输出使能关闭到输出三态完成的转换时间。

TZL,TZH:从传输使能开始到输出有效数据的转换时间。

存储器读取时间--从内存单元读取数据所需的时间,测试读取时间的步骤一般如下所示

(1)往单元A写入数据"0",

(2)往单元B写入数据"1",

(3)保持READ为使能状态并读取单元A的值,

(4)地址转换到单元B,

(5)转换时间就是从地址转换开始到数据变换之间的时间。

写入恢复时间--在写操作之后的到能读取某一内存单元所必须等待的时间。

暂停时间--内存单元能保持它们状态的时间,本质上就是测量内存数据的保持时间。

刷新时间--刷新内存的最大允许时间。

建立时间--输入数据转换必须提前锁定输入时钟的时间。

保持时间--在锁定输入时钟之后输入数据必须保持的时间。

频率--通过反复运行功能测试,同时改变测试周期,来测试器件运行的速度,周期和频率通常通过二进制搜索的办法来进行变化。频率测试的目的是找到器件所能运行的最快速度。

上面讨论了数字集成电路测试的一些基本目的和原理,同时也定义了测试上的一些关键术语,在接下来的章节里,我们将讨论怎么把这些基本原理应用到实际的IC测试中去。

相关主题