搜档网
当前位置:搜档网 › 数电复习题四

数电复习题四

数电复习题四
数电复习题四

《数字电子技术》复习题四

一、填空题

1.8421BCD码110011.001表示十进制数。

2.余3码0011表示十进制数。

3.如果对键盘上108个符号进行二进制编码,则至少要位二进制数码。

4.用4个触发器可以存储位二进制数。

5.将一个包含有32768个基本存储单元的存储电路设计成16位一个字节的ROM。则该

ROM有根地址线,有根数据读出线。

6.主从型JK触发器的特性方程= 。

二、选择题

1.十进制数85转换为二进制数为()。

A、1001011;

B、1010011;

C、1100101;

D、1010101。

2.“与非”逻辑与以下哪种逻辑是非的关系()。

A、“与或”逻辑;

B、“或非”逻辑;

C、“与或”逻辑;

D、“与”逻辑。

3.组合逻辑电路不含有()。

A、记忆能力的器件;

B、门电路和触发器;

C、门电路;

D、运算器。

4.共阳型七段数码管各段点亮需要( )。

A、高电平;

B、低电平;

A、不确定。

5.下列说法不正确的是()。

A、当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑;

B、三态门输出端有可能出现三种状态(高阻态、高电平、低电平);

C、O C门输出端直接连接可以实现正逻辑的线与运算;

D、集电极开路的门称为OC门。

6.一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。

A、4;

B、6;

C、8;

D、16。

7. 8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出0

12Y Y Y ??的值是( )。

A 、111;

B 、010;

C 、000;

D 、101。

8. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉

冲CP 作用下,四位数据的移位过程是( )。

A 、1011--0110--1100--1000--0000;

B 、1011--0101--0010--0001--0000;

C 、1011--1100--1101--1110--1111;

D 、1011--1010--1001--1000--0111。

9. 随机存取存储器具有( )功能。

A 、读/写;

B 、只读;

C 、只写;

D 、无读/写。

10. N 个触发器可以构成最大计数长度为( )的计数器。

A 、N 2;

B 、N ;

C 、2N ;

D 、2N 。

三、逻辑函数化简

1、用卡诺图化简函数(,,,)(0,2,4,6,9,13)(1,3,5,7,11,15)L A B C D m d =+∑∑

2、用公式代数法化简函数ABCD ABD BCD ABCBD BC ++++

四、分析题

1、写出如图所示电路的最简逻辑表达式。判断图所示电路在什么条件下产生竞争冒险,怎样修改电路能消除竞争冒险?

2、电路如图所示,试根据CP及输入波形画出输出端Q1、Q2 的波形。设各触发器的初始状态均为“0”。

1、应用74HC151(逻辑符号如下图)实现逻辑函数F=

2、分别用清零法和置位法用集成计数器74××161(逻辑符号如下图)设计一个10进制计数器。

3、请用下降沿触发的D触发器设计一同步时序电路,状态图及编码如图所示。

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。) 1、逻辑电路可以分为 组合逻辑电路 电路和 时序逻辑电路 电路。 2、数字电路的基本单元电路是 门电路 和 触发器 。 3、数字电路的分析工具是 逻辑代数(布尔代数) 。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (10010 )8421BCD 6、数字电路中的最基本的逻辑运算有 与 、 或 、 非 。 7、逻辑真值表是表示数字电路 输入和输出 之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的 或门 。 9、表示逻辑函数的4种方法是 真值表 、 表达式、 卡诺图 、 逻辑电路图 。 其中形式惟一的是 真值表 。 10、对于变量的一组取值,全体最小项之和为 1 。 11、对于任意一个最小项,只有一组变量的取值使其值为 1 ,而在变量取其他各组值时 这个最小项的取值都是 0 。 12、对于变量的任一组取值,任意两个最小项之积为0。 13、与最小项ABC 相邻的最小项有C AB 、C B A 、BC A 。 14、组合逻辑电路的特点是 输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件) 。 15、按电路的功能分,触发器可以分为 RS 、 JK 、 D 、 T 、 T ’。 16、时序电路可分为 同步时序逻辑电路 和 异步时序逻辑电路 两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含 驱动方程 、 输出方程 、 状态方程 )、 状态图 、 状态表 、 时序图 。 18、(251)10 =()2 =(FB )16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为 RAM 和 ROM 。 21、RAM 可分为 动态RAM 和 静态RAM 。 22、存储器以 字 为单位组织内部结构,1个字含有 若干 个存储单元。1个字中所含的位数(即存储单元的个数)称为字长。字数与字长的乘积表示存储器的 容量 。字数决定 存储器的地址线的颗数 ,字长决定 存储器的数据线的颗数 。

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

数电复习题集(含答案解析)-数电复习题集

数 电 复 习 题 选择题: 1.下列四个数中,与十进制数(163)10不相等的是( D ) A 、(A3)16 B 、(10100011)2 C 、(000101100011)8421BC D D 、(203)8 2.N 个变量可以构成多少个最小项( C ) A 、N B 、2N C 、2N D 、2N -1 3.下列功能不是二极管的常用功能的是( C ) A 、检波 B 、开关 C 、放大 D 、整流 4..将十进制数10)18(转换成八进制数是 ( B ) A 、20 B 、22 C 、21 D 、23 5.译码器的输入地址线为4根,那么输出线为多少根( C ) A 、8 B 、12 C 、16 D 、20 6.能把正弦信号转换成矩形脉冲信号的电路是(D ) A 、多谐振荡器 B 、D/A 转换器 C 、JK 触发器 D 、施密特触发器 7.三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 ( A ) A 、m2 B 、 m5 C 、m3 D 、 m7 8.用PROM 来实现组合逻辑电路,他的可编程阵列是( B ) A 、与阵列 B 、或阵列 C 、与阵列和或阵列都可以 D 、以上说法都不对 9.A/D 转换器中,转换速度最高的为( A )转换 A 、并联比较型 B 、逐次逼近型 C 、双积分型 D 、计数型 10.关于PAL 器件与或阵列说确的是 ( A ) A 、 只有与阵列可编程 B 、 都是可编程的 C 、 只有或阵列可编程 D 、 都是不可编程的 11. 当三态门输出高阻状态时,输出电阻为 ( A ) A 、无穷大 B 、约100欧姆 C 、无穷小 D 、约10欧姆 12为使采样输出信号不失真地代表输入模拟信号,采样频率 f s 和输入模

数字电路试题及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = (11110.01 ) 2 = (1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为: 高电平 、 低电平 和 高阻态 。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储 4 位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为 条、数据线为 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:( C )图。 2.下列几种TTL 电路中,输出端可实现线与功能的电路是(B )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 3.对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C)。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C)。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为(D )。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有(C )个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数电期末试卷及答案(共4套)

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、 ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM 中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1:

复习题(数电答案)

1.下列四种类型的逻辑门中,可以用( D )实现与、或、非三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 2. 根据反演规则,CD C B A F ++=)(的反函数为(A )。 A. ))((''''''D C C B A F ++= B. ))((''''''D C C B A F ++= C. ))((''''''D C C B A F += D. ))(('''''D C C B A F ++= 3.逻辑函数F=)(B A A ⊕⊕ =( A )。 A. B B. A C. B A ⊕ D. B A ⊕ 4. 最小项ABCD 的逻辑相邻最小项是( A )。 A. ABCD B. ABCD C. ABCD D. ABCD 5. 对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。 A. 通过大电阻接地(>1.5K Ω) B. 悬空 C. 通过小电阻接地(<1K Ω) D. 通过电阻接+VCC 6. 下列说法不正确的是( C )。 A .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑。 B .三态门输出端有可能出现三种状态(高阻态、高电平、低电平)。 C .OC 门输出端直接连接可以实现正逻辑的线与运算。 D .集电极开路的门称为OC 门。 7.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 8. 若用JK 触发器来实现特性方程为1+n Q Q AB Q +=A ,则JK 端的方程为( A )。 A.J=AB ,K=A B.J=AB ,K=A C. J =A ,K =AB D.J=B A ,K=AB 9.要将方波脉冲的周期扩展10倍,可采用( C )。 A 、10级施密特触发器 B 、10位二进制计数器 C 、十进制计数器 D 、10位D/A 转换器 10. 一个16选1的数据选择器,其地址输入端有( C )个。 A.1 B.2 C.4 D.16 11. 8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出012Y Y Y ??的值是( C )。

数字电路复习题及答案.

《数字电子技术基础》复习题 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.处理 b 的电子电路是数字电路。 (a)交流电压信号(b)时间和幅值上离散的信号 (c)时间和幅值上连续变化的信号(d)无法确定 2.用不同数制的数字来表示2004,位数最少的是 d 。 (a)二进制(b)八进制(c)十进制(d)十六进制 3.最常用的BCD码是 b 。 (a)5421码(b)8421码(c)余3码(d)循环码 4.格雷码的优点是 c 。 (a)代码短(b)记忆方便(c)两组相邻代码之间只有一位不同(d)同时具备以上三者 5.两个开关控制一盏灯,只有两个开关都闭合时灯才不亮,则该电路的逻辑关系是 a 。 (a)与非(b)或非(c)同或(d)异或 6.已知F=ABC+CD,选出下列可以肯定使F=0的取值 d (a)ABC=011 (b)BC=11 (c)CD=10 (d)BCD=111 7.2004个1连续异或的结果是 a 。 (a)0 (b)1 (c)不唯一(d)逻辑概念错误 二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.5的5421BCD码是0101 这个是8421码的。 2.逻辑表达式中,异或的符号是⊕,同或的符号是⊙。 3.逻辑函数常用的表示方法有真值表、逻辑函数式、逻辑图和卡诺图。 4.用代数法化简逻辑函数需要一定的经验和技巧,不容易确定化简结果是否是最简。 5.用卡诺图化简逻辑函数,化简结果一般是最简与-或式。 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.实体(ENTITY)描述一个设计单元的 C D 的信息。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 2.结构体(ARCHITECTURE)用于描述设计单元的 A D 。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 3.在VHDL语言中,ARCHITECTURE中的语句都是 B 执行的语句。 (a)顺序(b)并行(c)即可顺序也可并行(d)无法确定4.在VHDL程序设计中,下面4个部分, C 不是可编译的源设计单元。 (a)ARCHITECTURE (b)ENTITY (c)PROCESS (d)PACKAGE

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数电试题与答案(五套)

《数字电子技术基础》试题一 一、 填空题(22分 每空2分) 1、 =⊕0A , =⊕1A 。 2、JK 触发器的特性方程为: 。 3、单稳态触发器中,两个状态一个为 态,另一个为 态.多谐振荡器两个状态都为 态, 施密特触发器两个状态都为 态. 4、组合逻辑电路的输出仅仅只与该时刻的 有关,而与 无关。 5、某数/模转换器的输入为8位二进制数字信号(D 7~D 0),输出为0~25.5V 的模拟电压。若数字信号的最低位是“1”其余各位是“0”,则输出的模拟电压为 。 6、一个四选一数据选择器,其地址输入端有 个。 二、 化简题(15分 每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈 1)Y (A,B,C,D )=∑m (0,1,2,3,4,5,6,7,13,15) 2)∑∑+=)11,10,9,3,2,1()15,14,13,0() ,,,(d m D C B A L 利用代数法化简逻辑函数,必须写出化简过程 3)________________________________________ __________)(),,(B A B A ABC B A C B A F +++= 三、 画图题(10分 每题5分) 据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、 2、 四、 分析题(17分) 1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分) 2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分) 五、 设计题(28分) 1、 用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯 亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制 电路真值表,要求用74LS138和适当的与非门实现此电路(20分)

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

五套电力系统分析试题(含参考答案)

电力系统分析试题(含答参考案) 2018.08 一、 一、填空题 1.降压变压器高压侧的主分接头电压为220kv ,若选择+2×2.5%的分接头,则该分接头电压为 231KV 。 2.电力系统中性点有效接地方式指的是 中性点直接接地 。 3.输电线路的电气参数包括电抗、电导、电纳和 电阻 。 4.输电线路的电压偏移是指线路始端或末端母线的实际运行电压与线路 额定电压 的数值差。 5.电力系统的潮流分布一般是用各节点的电压和 功率 表示。 6.调整发电机组输出的有功功率用来调整电力系统运行的 频率 。 7.复合故障一般是指某一时刻在电力系统 二个及以上地方 发生故障。 8.用对称分量法计算不对称故障,当三相阻抗完全对称时,则其序阻抗矩阵Zsc 的非对角元素为 零 。 9.系统中发生单相接地短路时故障点短路电流的大小是零序电流的 3 倍。 10.减小输出电元件的电抗将 提高(改善) 系统的静态稳定性。 二、单项选择题在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在题后的括号内。 11.同步发电机的转速和系统频率之间是否有严格的关系( ② ) ①否 ②是 ③不一定 ④根据发电机的形式定 12.三绕组变压器的结构、通常将高压绕组放在( ③ ) ①内层 ②中间层 ③外层 ④独立设置 13.中性点以消弧线圈接地的电力系统,通常采用的补偿方式是( ③ ) ①全补偿 ②欠补偿 ③过补偿 ④有时全补偿,有时欠补偿 14.三相导线的几何均距越大,则导线的电抗( ② ) ①越大 ②越小 ③不变 ④无法确定 15.变压器的电导参数G T ,主要决定于哪一个实验数据( ① ) ①△P O ②△P K ③U K % ④I O % 16.当功率的有名值为s =P +jQ 时(功率因数角为?)取基准功率为S n ,则有功功率的标么值为( ③ ) ① ?cos S P n ? ②?sin S P n ? ③n S P ④n S cos P ?? 17.环网中功率的自然分布是( ④ ) ①与电阻成正比分布 ②与电抗成正比分布 ③与阻抗成正比分布 ④与阻抗成反比分布

数字电路试题及答案汇编

数字电路试题 一、单项选择题 1、以下代码中为无权码的为 ( ) A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D .2421BCD 码 2、图示逻辑电路的逻辑式为 ( ) A .F=C B A ++ B .F= C B A ++ C .F=C B A D .F=ABC 3、下列关于异或运算的式子中,不正确的是 ( ) A .0A A =⊕ B . 1A A =⊕ C .A 0A =⊕ D .A 1A =⊕ 4、一个n 变量的逻辑函数应该有 个最小项 ( ) A .n B .n 2 C .n 2 D .2 n 5、若编码器中有50个编码对象,则要求输出二进制代码位数为 位。 ( ) A .5 B .6 C .10 D .50 6、在下列逻辑电路中,不是组合逻辑电路的是 。 ( ) A .译码器 B .编码器 C .全加器 D .寄存器 7、欲使JK 触发器按01 =+n Q 工作,可使JK 触发器的输入端 。 ( ) A .1==K J B .Q J =,Q K = C .Q J =,Q K = D .0=J ,1=K 8、同步时序电路和异步时序电路比较,其差异在于两者 。 ( ) A .没有触发器 B .是否有统一的时钟脉冲控制 C .没有稳定状态 D .输出只与内部状态有关 9、8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。 ( ) A .1 B .2 C .4 D .8 10、555定时器D R 端不用时,应当 。 ( ) A .接高电平 B .接低电平 C .通过F μ01.0的电容接地 D .通过小于Ω500的电阻接地 二、填空题 1、当传送十进制数5时,在8421奇校验码的校验位上值应为 。 2、(35.625)10=( )2=( )8=( )16 3、用反演律求函数D A D C ABC F ++=的反函数(不用化简)=F 。 4、消除竟争冒险的方法有 、 、 等。 5、触发器有 个稳态,存储8位二进制信息要 个触发器。 1 & A B C F 11

数字电路复习题(含答案)

一、填空题: 1.在计算机内部,只处理二进制数;二制数的数码为1 、0两个;写出从(000)2 依次加1的所有3位二进制数:000、001、010、011、100、101、110、111 。2.13=(1101)2;(5A)16=(1011010)2;(10001100)2=(8C)16。 完成二进制加法(1011)2+1=(1100)2 3.写出下列公式:= 1 ; = B ; = A+B ; =B A 。 4.含用触发器的数字电路属于时序逻辑电路(组合逻辑电路、时序逻辑电路)。 TTL、CMOS电路中,工作电压为5V的是TTL ;要特别注意防静电的是CMOS 。 5.要对256个存贮单元进行编址,则所需的地址线是8 条。 6.输出端一定连接上拉电阻的是OC 门;三态门的输出状态有1 、0 、高阻态三种状态。 7.施密特触发器有 2 个稳定状态.,多谐振荡器有0 个稳定状态。8.下图是由触发器构成的时序逻辑电路。试问此电路的功能是移位寄存器, 是同步时序电路(填同步还是异步),当R D=1时,Q0Q1Q2Q3= 0000 ,当R D=0,D I=1,当第二个CP脉冲到来后,Q0Q1Q2Q3= 0100 。 (图一) 1.和二进制数(111100111.001)等值的十六进制数是( B ) A.(747.2) 16B.(1E7.2) 16 C.(3D7.1) 16 D.(F31.2) 16 R CP

2.和逻辑式B A C B AC+ +相等的式子是( A ) A.AC+B B. BC C.B D.BC A+ 3.32位输入的二进制编码器,其输出端有( D )位。 A. 256 B. 128 C. 4 D. 5 4.n位触发器构成的扭环形计数器,其无关状态数为个( B ) A.2n-n B.2n-2n C.2n D.2n-1 5.4个边沿JK触发器,可以存储( A )位二进制数 A.4 B.8 C.16 6.三极管作为开关时工作区域是( D ) A.饱和区+放大区B.击穿区+截止区 C.放大区+击穿区D.饱和区+截止区 7.下列各种电路结构的触发器中哪种能构成移位寄存器( C ) A.基本RS触发器B.同步RS触发器C.主从结构触发器8.施密特触发器常用于对脉冲波形的( C ) A.定时B.计数C.整形 1.八进制数 (34.2 ) 8 的等值二进制数为11100.01 ;十进制数 98 的8421BCD 码为10011000 。 2.试写出下列图中各门电路的输出分别是什么状态(高电平、低电平)?(其中(A)(B)为TTL门电路,而(C)为CMOS门电路) (A)(B)(C) Y 1= 02 Y 2 = 1 Y 3 = 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4.单稳态触发器有一个稳定状态和一个暂稳状态。施密特触发器有

数电习题及答案

一、 时序逻辑电路与组合逻辑电路不同, 其电路由 组合逻辑电路 和 存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输 出方程 。 三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电路 两大类。 四、试分析图 T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程 和输出方程,画出电路的状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 1001 1 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程: 10Y Q Q = 状态图:功能:同步三进制计数器

五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D触发器,用状态000到100构成五进制计数器。 (1)状态转换图 (2)状态真值表 (3)求状态方程

(4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。 解:触发器的驱动方程 2 0010210 10 21 1 J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程

1 20 0 1 10 101 1 2 210 n n n Q Q Q Q Q Q Q Q Q Q Q Q + + + = =+ = ? ?? ? ? ?? 输出方程 2 Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。 解:驱动方程 输出方程 状态方程 状态转换图如图 A7.3所示 01 J X Q =⊕01 K= 10 J X Q =⊕ 1 1 K= 10 () Z X Q Q =⊕? 1 0000010 () n Q J Q K Q X Q Q +=+=⊕ 1 1111101 () n Q J Q K Q X Q Q +=+=⊕?

数字电路试题五套含答案

《数字电子技术》试卷一 一、 填空(每空1分,共25分) 1、(10110)2=( )10=( )16 (28)10=( )2=( )16 (56)10=( )8421BCD 2、最基本的门电路是: 、 、 。 3、有N 个变量组成的最小项有 个。 4、基本RS 触发器的特征方程为_______ ,约束条件是 __. 5、若存储器的容量是256×4RAM,该RAM 有 ___存储单元,有 字,字长 _____位,地址线 根。 6、用N 位移位寄存器构成的扭环形计数器的模是________. 7、若令JK 触发器的J=K=T 则构成的触发器为_______. 8、如图所示,Y= 。 9、如图所示逻辑电路的输出Y= 。 10、已知Y=D AC BC B A ++,则Y = ,Y/= 。 11、组合逻辑电路的特点是_________、___________;与组合逻辑电路相比,时序逻辑电路的输出不仅仅取决于此刻的_______;还与电路 有关。 二、 化简(每小题5分,共20分) 1、公式法化简 (1)Y=ABC ABC BC BC A ++++ (2)Y ABC A B C =+++

2、用卡诺图法化简下列逻辑函数 =+++ (1)Y BCD BC ACD ABD Y=∑+∑ (2)(1,3,4,9,11,12,14,15)(5,6,7,13) m d 三、设下列各触发器初始状态为0,试画出在CP作用下触发器的输出波形(10 分) 四、用74LS161四位二进制计数器实现十进制计数器(15分)

五、某汽车驾驶员培训班结业考试,有三名评判员,其中A 为主评判员,B 、C 为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路。(15分) P Q A Q B Q C Q D C T 74LS161 LD CP Q A 、Q B 、Q C 、Q D :数据输出端; A 、B 、C 、D :数据输入端; P 、T :计数选通端; r C :异步复位端; CP :时钟控制输入端; D L :同步并置数控制端;

数电各章复习试题与答案

第1章逻辑代数基础 一、选择题(多选题) 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 2.一位十六进制数可以用位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 4.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 5.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 6.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 7.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 8. 逻辑变量的取值1和0可以表示:。 A.开关的闭合、断开 B.电位的高、低 C.真与假 D.电流的有、无 9.求一个逻辑函数F的对偶式,可将F中的。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” E.常数不变 10. A+BC= 。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 11.在何种输入情况下,“与非”运算的结果是逻辑0。 A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 12.在何种输入情况下,“或非”运算的结果是逻辑0。 A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 13.以下表达式中符合逻辑运算法则的是。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 14. 当逻辑函数有n个变量时,共有个变量取值组合? A. n B. 2n C. n2 D. 2n 15. 逻辑函数的表示方法中具有唯一性的是。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 16.F=A B+BD+CDE+A D= 。

数电试题答案

一、填空题(每空1分,共10分) 1.数字电路分为两大类,分别是组合逻辑电路和时序逻辑电路。 2.2006个1连续异或的结果是__0___;而2007个1连续同或的结果是__1__. 3.已知某函数F 的反函数为,则其原函数F= ;而F 的对偶函数则为F*= 。 4.试将函数,写成标准的积之和形式,即(0,1,2, 4,5,6,8,9,10 ). 5.逻辑代数中的三种基本逻辑运算是与、或、非。 1 位二进制数,它具有记忆功能。 二、选择题(每小题2分,共10分) 1.已知某电路的输入A 、B 和输出Y 的波形如下图所示,该电路实现的函数表达式为 D 。 (A)A ⊙B (B)A?B (C) (D) 2.用0,1两个符号对100个信息进行编码,则至少需要 B 。 (A)8位 (B) 7位 (C) 9位 (D) 6位 3.下列电路中属于组合电路的是 D 。 (A)集成触发器 (B)多谐振荡器 (C)二进制计数器 (D)3—8译码器 4.下列电路中只有一个稳定状态的是 C 。 (A)集成触发器 (B) 施密特触发器 (C)单稳态触发器 (D) 多谐振荡器 5.为产生周期性矩形波,应当选用 C 。 (A) 施密特触发器 (B) 单稳态触发器 译码器 三、逻辑函数化简(共10分) 用卡诺图法化简下列逻辑函数,结果为最简与或式。 (每题5 分,共10分) . 四、分析题(共45分) 1.(本题10分)写出下图的逻辑表达式和真值表,并化简为最简与或表达式。

2. (本题10分)试对已给器件,在所示输入波形CP、D、及作用下,画其输出波形Q及.假设电路初态Q(0)=1,且不计传输延迟 解: 3.(本题10分) 试分析图示时序电路,列出状态转换表及全状态转换图,说明电路功能,并分析能否自启动,设初始状态为00。 解:⑴写激励方程(2分) J1=Q0n,,K1=K0=1, ⑵写状态方程(2分) , ⑶列全状态转换表(2分) ⑷画全状态转换图(2分) ⑸功能(2分):该电路为一个三进制同步计数器,并且具有自启动功能。 4. 已知某时序电路的状态转换表如下所列,试由此画出该电路在所给时钟CP及输入信号X 作用下的Q2、Q1及输出Z的波形(设初态Q2Q1=00,时钟脉冲上升边沿有效).Q2n+1Q1n+1/Zn Q2n Q1n X=0 X=1 0 0 01/0 11/0 0 1 10/0 00/1 1 1 00/1 10/0 1 0 11/0 01/0 5. (本题10分)已知可变进制计数器如下图所示,试分析当控制变量A为1和0时电路各为几进制计数器,并分别画出主循环的状态转换图。 解: 当A=1时置数状态为0011,该状态应是计数循环中的一个状态,所置数值为0000,因而计数循环的状态为0000~0011,共4个状态,完成四进制,为四进制计数器。(3分) 当A=0时置数状态为1001,该状态应是计数循环中的一个状态,所置数值为0000,因而计数循环的状态为0000~1001,共10个状态,完成十进制,为十进制计数器。(3分) 画出主循环的状态转换图:(4分)。 1.(本题15分)一个电路有3个输入信号,即A、B及C,有4个输出信号,即Z1、Z2、Z3及Z4,当所有输入信号为0时,Z1=1;当只有1个输入为1时,Z2=1;当任意2个输入信号为1时,Z3=1;当3个输入全部为1时,Z4=1.试用图示3线-8线译码器CT3138和门电路来实现. 解: 1.列真值表(5分) A B C Z1 Z2 Z3 Z4 0 0 0 1 0 0 0 0 0 1 0 1 0 0 0 1 0 0 1 0 0

《数字电路》复习题部分答案

一、填空题20分 1、逻辑分析、逻辑设计的概念 2、数字电路的分类、研究方法 3、逻辑函数的表示有四种:逻辑电路图、其中后三种之间可以相互转换。 逻辑变量和函数只有两种取值,而且它们只是表示两种不同的逻辑状态。逻辑代数有三种基本运算。 4、逻辑代数的定理、规则的应用(例:求反函数) 5、最小/大项的性质 6、由真值表写出函数表达式 7、什么是功能模块,小规模中规模设计追求的目标 8、中规模器件(编码器,译码器,比较器,选择器,加法器的(1)逻辑功能(2)输入/出的数量关系 【 9、触发器的稳态的互补性,分类,特性方程 10、时序电路的组成,特点,分类 11、构造一个模N的计数器需要状态,需要触发器 12、代码的转化,例()8421=()10=()2 12、PLD常识概念(PLD PLA PAL GAL 及基本结构) 二、利用真值表证明函数相等(或函数化简)10分 三、分析题30分 1、分析组合电路 2、时序电路例题 四、设计题目40分 , 1、用门电路设计实现组合电路(15分) 2、用3-8译码器(输出低电平有效)/选择器设计实现 (10分) ①三输入表决电路②全加器/全减器 ③两位数比较器电路④优先权判断电路 3、时序电路的分析设计(15分) 分析设计可满足给定的时序波形图要求的时序电路 ~

" 模拟题 一、填空题 1、对现成的数字电路,研究它的逻辑功能称为 逻辑分析 ; 而 设计它的逻辑电路 称为逻辑设计。 2、数字逻辑电路可分为 组合逻辑电路 和 时序逻辑电路 两大类。 3、表示逻辑函数的方法有四种 逻辑代数 、 真值表 、卡诺图 和逻辑图,前三者各有特点,适应于不同的场合,它们之间存在内在的联系,可相互转换。 4、逻辑变量和函数只有 “0”或“1” 两种取值,而且它们只是表示两种不同的逻辑状态。 : 5、逻辑代数有 与运算 、 或运算 和 非运算 三种基本运算。 6、相同变量构成的最小项mi 和最大项Mi ,应满足= 0 ,Mi+mi= 1 。 7、1983=( 000 )8421码 (1100110)B =( 1010101 )Gray 8、使用小规模集成电路的逻辑设计,其设计目标追求的是 所用的门电路越少越好 。 9、采用MSI 器件为基础的设计,主要考虑的是 所设计的电路能否满足功能要求、可靠性要求及价格要求,尽量减少集成器件数 。 10、二进制一位全加器是实现 两个一位二进制数进行相加并考虑低位来的进位求得和及向高位进位的 逻辑功能的逻辑电路。 11、逻辑函数D C B A F ?+?=,其反函数为 A+B (C+D)()。 12、若逻辑函数F(A,B,C)=∑m(1,2,4,6) , G(A,B,C)=∑m(0,1,2,3,4,5,7),则F 和G 相与的结果为 ABC+BC 。 13、函数D D C C B C A AB F ++++=的最简与或式为 AB+C+D 。 : 时序电路是由组合电路和 存储电路 两部分组成,并形成 反馈回0路 ,它是一种

相关主题