搜档网
当前位置:搜档网 › 数字逻辑第六版答案第二章

数字逻辑第六版答案第二章

数字逻辑第六版答案第二章
数字逻辑第六版答案第二章

第二章组合逻辑

1.分析图中所示的逻辑电路,写出表达式并进行化简

2.分析下图所示逻辑电路,其中S3、S2、S1、S0为控制输入端,列出真值表,说明 F 与

A、B 的关系。

F1=

F2=

F=F1F2=

3. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能。解:

F1==

真值表如下:

当B≠C时,F1=A

当B=C=1时,F1=A

当B=C=0时,F1=0

F2=

真值表如下:

当A、B、C三个变量中有两个及两个以上同时为“1”时,F2 = 1 。

4.图所示为数据总线上的一种判零电路,写出F的逻辑表达式,说明该电路的逻辑功能。

解:F=

只有当变量A0~A15全为0时,F = 1;否则,F = 0。

因此,电路的功能是判断变量是否全部为逻辑“0”。

5.分析下图所示逻辑电路,列出真值表,说明其逻辑功能

解:

真值表如下:

因此,这是一个四选一的选择器。

6. 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码?

解:

这是一个余三码至8421 BCD码转换的电路

7. 下图是一个受M 控制的4位二进制码和格雷码的相互转换电路。M=1 时,完成自然二进制码至格雷码转换;M=0 时,完成相反转换。请说明之

解:Y3=X3

当M=1时Y3=X3

Y2=X2⊕X3

Y1=X1⊕X2

Y0=X0⊕X1

当M=0时Y3=X3

Y2=X2⊕X3

Y1=X1⊕Y2=X1⊕X2⊕X3

Y0=X0⊕Y1=X0⊕X1⊕X2⊕X3

由真值表可知:M=1 时,完成8421 BCD码到格雷码的转换;

M=0 时,完成格雷码到8421 BCD码的转换。

8. 已知输入信号A,B,C,D的波形如下图所示,选择适当的集成逻辑门电路,设计产生输出 F 波形的组合电路(输入无反变量)

解:

列出真值表如下:

9.用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示有两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,并选出合适的集成电路来实现。

解:

设:三台设备分别为A、B、C:“1”表示有故障,“0”表示无故障;红、黄、绿灯分别为Y1、Y2、Y3:“1”表示灯亮;“0”表示灯灭。据题意列出真值表如下:

于是得:

10. 用两片双四选一数据选择器和与非门实现循环码至8421BCD码转换。

解:(1)函数真值表、卡诺图如下;

(2)

(1)画逻辑图:

11. 用一片74LS148和与非门实现8421BCD优先编码器

12. 用适当门电路,设计16位串行加法器,要求进位琏速度最快,计算一次加法时间。解:全加器真值表如下

可以写出以下表达式

要使进位琏速度最快,应使用“与或非”门。具体连接图如下。

若“与或非”门延迟时间为t1,“非门”延迟时间为t2,则完成一次16位加法运算所需时间为:

13.用一片4:16线译码器将8421BCD码转换成余三码,写出表达式

解:

14. 使用一个4位二进制加法器设计8421BCD码转换成余三码转换器:

解:

15. 用74LS283加法器和逻辑门设计实现一位8421 BCD码加法器电路。解:

16. 设计二进制码/格雷码转换器

解:真值表

得:

17. 设计七段译码器的内部电路,用于驱动共阴极数码管。解:七段发光二极管为共阴极电路,各段为“1”时亮。

8421 BCD码A

Y

Y

Y

a

七段译码器真值表如下:

18. 设计一个血型配比指示器。

解:用XY表示供血者代码,MN表示受血者代码。代码设定如下:

XY = 00A型MN = 00A型

01B型01B型

10AB型10AB型

11O 型11O

型输入输出显示A 3A 2A 1A 0Y a Y b Y c Y d Y e Y f

Y g

00011111100

000101100001001011011012001111110013

010*********

010*********

011010111116

011111100007

100011111118

BCD 码七段译码器A A A Y Y Y

Y Y

b

c

d

e f g

得:F 1 = Σ(0,2,5,6,10,12,13,14,15)

19. 设计保密锁。

解: 设A,B,C 按键按下为1,F 为开锁信号(F=1为打开),G 为报警信号(G=1为报警)。

(1)真值表

(1)卡诺图化简

F的卡诺图:

化简得:

G

的卡诺图

A B

C

F G 0

000

010

100

111

001

011

101110001010100101010

化简得:

关闭

《数字逻辑》——期末复习题及答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为( ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有( ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是() A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是( )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [])E D (C B A F +?+?= 13.组合逻辑电路一般由( )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( )

第一章 数字逻辑基础_数字逻辑与系统

第一章数字逻辑基础 教学基本要求: 掌握常用的数制二进制、十进制、十六进制的相互转换; 掌握二进制数的原码、反码及补码的表示方法; 掌握常用的编码及它们与二进制数间的相互转换; 掌握逻辑代数的基本定律与规则; 掌握逻辑函数的表示方法及各种表示方法之间的相互转换; 掌握代数法和卡诺图法化简逻辑函数。 重点: 常用的数制与编码; 逻辑代数基础; 逻辑命题的描述。 电子电路的信号主要有两类: 一类是在时间上和幅值上都连续的信号称为模拟信号,处理模拟信号的电路称为模拟电路。正弦信号是典型的模拟信号,如图1-1所示。 另一类是时间上和幅值上都离散的信号称为数字信号,处理数字信号的电路称为数字电路。脉冲信号是典型的数字信号,如图1-22所示。 数字电路的特点:

?工作信号是不连续的数字信号,所以电路中的半导体器件工作在开关状态,即稳定于饱和区或截止区,放大区只是其过度状态; ?数字电路既是开关电路又是逻辑电路,主要研究电路输入和输出间的逻辑关系。分析工具和方法与模拟电路完全不同,具有独立的基础理论; ?逻辑代数是分析逻辑电路的数学工具。 学习指导: 在本知识点学习中由最熟悉的十进制数入手,寻找各种计数体制的规律,特别要注意理解权的概念,熟练掌握任意进制数按权展开式。 在数字系统中采用二进制。因为二进制数的基数为2,只有0和1两个数码,其不仅运算简单,电路实现也容易,还可以利用逻辑代数;但表示同一数值的数比十进制需更多的位数,因此数字系统中又常用八进制和十六进制数。十、二、八、十六进制数的后缀分别为D、B、Q、H。对十进制数常可省略下标或后缀。十进制数特点: 1.有一个确定的基数10,且逢10进一; 2.有10个有序的数字符号有0--9和一个小数点,数码K i 从0~9; 3.每一个数位均有固定的含意称权10i,不同数位其权10i不同; 4.任意一个十进位制数均可写成按权展开式: (N) 10 = (K n-1 K n-2 …K 1 K .K -1 …K -m ) 10 = K n-1 10n-1+K n-2 10n-2+…+K 1 101+K 100+K -1 10-1+…+K -m 10-m 例: 二进制特点: ?二进制是以2为基数的计数体制,它仅采用2个数码0和1,并且“逢二进一”,即1+1=10; ?不同数位上的权值不同,其相应的权为2i; ?任意一个二进位制数均可写成按权展开式。

《数字逻辑与数字系统》期末考试试题(A)

北京邮电大学2008——2009学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 考试注意事项 一、学生参加考试须带学生证或学院证明,未带者不准进入考场。学生必须按照监考教师指定座位就坐。 二、书本、参考资料、书包等物品一律放到考场指定位置。 三、学生不得另行携带、使用稿纸,要遵守《北京邮电大学考场规则》,有考场违纪或作弊行为者,按相应规定严肃处理。 四、学生必须将答题内容做在试题答卷上,做在草稿纸上一律无效。 五、学生的姓名、班级、学号、班内序号等信息由教材中心统一印制。 考试 课程 数字逻辑与数字系统 考试时间 2009年1月13日 题号 一 二 三 四 五 六 七 八 总分 满分 10 20 10 10 10 12 14 14 得分 阅卷 教师 一、选择题(每小题1分,共10分。) 1. )D C B (B )B A (A F ++++==( ) A . B B . A+B C . 1 D .AB 2.同步时序电路和异步时序电路比较,其差异在于后者( ) A . 没有稳定状态 B . 没有统一的时钟脉冲控制 C . 输入数据是异步的 D . 输出数据是异步的 3.(10000011)8421BCD 的二进制码为( )。 A .( 10000011)2 B .(10100100)2 C . (1010011)2 D . (11001011)2 4. 74LS85为四位二进制数据比较器。如果只进行4位数据比较,那么三个级联输入端ab 、a=b 应为( )。 A . ab 接地,a=b 接地 B . ab 接高电平,a=b 接高电平 C . ab 接高电平,a=b 接地

数字逻辑第一章作业参考答案

第一章数字逻辑基础作业及参考答案 () P43 1-11 已知逻辑函数 A C C B B A F+ + = ,试用真值表、卡诺图和逻辑图表示该函数。解:(1 )真值表表示如下: 输入输出 A B C F 0000 0011 0101 0111 1001 1011 1101 1110 (2)卡诺图表示如下: 00011110 0101 1111 由卡诺图可得C B C B A F+ + ==C B C B A? ? (3)逻辑图表示如下: 1-12 用与非门和或非门实现下列函数,并画出逻辑图。 解:(1)BC AB C B A F+ = ) , , (BC AB? = (2)) + (?) + ( = ) , , , (D C B A D C B A F D C B A+ + + = 题1-12 (1) 题1-12 (2) A BC

1-14 利用公式法化简下列函数为最简与或式。 解:(2)C AB C B BC A AC F +++=C AB C B BC A AC +??= C AB C B C B A C A ++?++?+=)()()( C AB C B C C B C A C A B A ++?++++=)()( C AB C C B C B C A C AB C A C B A C B A ++++++++= C AB C C B C B C A C AB C A C B A C B A ++++++++= C = 解(3)DE E B ACE BD C A AB D A AD F +++++++= DE E B BD C A A ++++= E B BD C A +++= 解(5)))()((D C B A D C B A D C B A F +++++++++= D C AB BCD A ABCD F ++='ΘD C AB BCD +=ABD BCD += D B AC D B A D C B F ++=)++)(++(=∴ P44 1-15利用卡诺图化简下列函数为最简与或式。 解:(3))+++)(+++)(+++)(+++(=D C B A D C B A D C B A D C B A F 方法1:)+++)(+++)(+++(=D C B A D C B A D C B A F ))((D C B A D CD D A D C C A D B C B B B A AD AC B A ++++++++++++++= ))((D C B A D C A B AC ++++++= D C BD AD D C A C A C B A D B C B B A D AC ABC AC +++++++++++= D C BD AD C A D B C B B A AC +++++++= 方法2:D C AB CD B A D BC A F ++= F 的 卡 诺 图

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、()8 =( )16 2、 10= ( )2 3、(FF )16= ( 255 )10 4、[X]原=,真值X= ,[X]补 = 。 5、[X]反=,[X]补= 。 6、-9/16的补码为,反码为 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。 4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分)

5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分) 解:XABC C B A X ABC X C B A X C B A X C B A X F ++++?+?= 4分 )()(ABC C B A X C B A X F ++⊕⊕= 4分 所以:X=0 完成判奇功能。 X=1 完成逻辑一致判断功能。 2分

[整理]《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

数字逻辑第六章

第六章时序逻辑电路 1 : 构成一个五进制的计数器至少需要()个触发器 A:5 B:4 C:3 D:2 您选择的答案: 正确答案: C 知识点:n个触发器可构成一个不大于2n进制的计数器。A ---------------------------------------------------------------------------- 2 : 构成一个能存储五位二值代码的寄存器至少需要()个触发器 A:5 B:4 C:3 D:2 您选择的答案: 正确答案: A 知识点:一个触发器能储存1位二值代码,所以用n个触发器组成的寄存器能储存n位二值代码。 ---------------------------------------------------------------------------- 3 : 移位寄存器不具有的功能是() A:数据存储 B:数据运算 C:构成计数器 D:构成译码器 您选择的答案: 正确答案: D 知识点:移位寄存器不仅可以存储代码,还可以实现数据的串行-并行转换、数值的运算、数据处理及构成计数器。 ---------------------------------------------------------------------------- 4 : 下列说法不正确的是() A:时序电路与组合电路具有不同的特点,因此其分析方法和设计方法也不同 B:时序电路任意时刻的状态和输出均可表示为输入变量和电路原来状态的逻辑函数 C:用包含输出与输入逻辑关系的函数式不可以完整地描述时序电路的逻辑功能 D:用包含输出与输入逻辑关系的函数式可以完整地描述时序电路的逻辑功能

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

第1章 数字逻辑基础

第1 章数字逻辑基础 部分习题解答 1.3 将下列十进制数转换成等值的二进制数、八进制数、十六进制数。要求二进制数保留小数点后4位有效数字。 (1)(19)D ;(2)(37.656)D ;(3)(0.3569)D 解: (19)D=(10011)B=(23)O=(13)H (37.656)D=(100101.1010)B=(45.5176)O=(25.A7E)H (0.3569)D=(0.01011)B=(0.266)O=(0.5B)H 1.4 将下列八进制数转换成等值的二进制数。 (1)(137)O ;(2)(36.452)O ;(3)(0.1436)O 解: (137)O=(1 011 111)B (36.452)O=(11110. 10010101)B (0.1436)O=(0.001 100 011 11)B 1.5 将下列十六进制数转换成等值的二进制数。 (1)(1E7.2C)H ;(2)(36A.45D)H ;(3)(0.B4F6)H 解: (1E7.2C)H=(1 1110 0111.0010 11)B (36A.45D)H=(11 0110 1010. 0100 0101 1101)B (0.B4F6)H=(0.1011 0100 1111 011)B 1.6 求下列BCD码代表的十进制数。 (1)(1000011000110101.10010111)8421BCD ; (2)(1011011011000101.10010111)余3 BCD ; (3)(1110110101000011.11011011)2421BCD; (4)(1010101110001011.10010011)5421BCD ; 解: (1000 0110 0011 0101.1001 0111)8421BCD=(8635.97)D (1011 0110 1100 0101.1001 0111)余3 BCD =(839.24)D (1110 1101 0100 0011.1101 1011)2421BCD=(8743.75)D (1010 1011 1000 1011.1001 0011)5421BCD=(7858.63)D 1.7 试完成下列代码转换。 (1)(1110110101000011.11011011)2421BCD = (?)余3 BCD (2)(1010101110001011.10010011)5421BCD= (?)8421BCD

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1)二—十进制码( BCD 码) 在这种编码中,用四位二进制数表示十进制数中的 0~9 十个数码。常用的编码有 8421BCD 码、 5421BCD 码和余 3 码。 8421BCD 码是由四位二进制数0000 到 1111 十六种组合中前十种组合,即0000~1001 来代表十进制数0~9 十个数码,每位二进制码具有固定的权值8、 4、 2、1,称有权码。 余 3 码是由 8421BCD 码加 3( 0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而 其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工 具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函 数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数 的简化。二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运 用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画 包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、 求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路 呢?通常的步骤如下:

《数字逻辑电路(A)》复习题第六章时序电路

时序逻辑电路 一、选择题 1.同步计数器和异步计数器比较,同步计数器的显著优点是。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P控制。 3.下列逻辑电路中为时序逻辑电路的是。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 4.N个触发器可以构成最大计数长度(进制数)为的计数器。 A.N B.2N C.N2 D.2N 5.N个触发器可以构成能寄存位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 6. 7.同步时序电路和异步时序电路比较,其差异在于后者。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 8.一位8421B C D码计数器至少需要个触发器。 A.3 B.4 C.5 D.10 9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同 步二进制计数器,最少应使用个触发器。 A.2 B.3 C.4 D.8 10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 A.1 B.2 C.4 D.8 二、判断题(正确打√,错误的打×) 1.同步时序电路由组合电路和存储器两部分组成。(√) 2.组合电路不含有记忆功能的器件。(√) 3.时序电路不含有记忆功能的器件。(×) 4.同步时序电路具有统一的时钟CP控制。(√) 5.异步时序电路的各级触发器类型不同。(×) 6.环形计数器在每个时钟脉冲CP作用时,相临状态仅有一位触发器发生状态更新。(×) 7.环形计数器如果不作自启动修改,则总有孤立状态存在。(√) 8.计数器的模是指构成计数器的触发器的个数。(×) 10.D触发器的特征方程Q n+1=D,而与Q n无关,所以,D触发器不是时序电路。(×)

第一章数字逻辑基础

第一章数字逻辑基础 [教学目的和要求] 1.要求掌握数字信号和模拟信号的区别;了解数字电路的含义、研究对象、特点与应用等; 2.要求了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;了解8421BCD码、Gray码的概念;掌握数、代码之间的相互转换; 3.掌握逻辑代数的三种基本运算; 4.掌握逻辑函数的四种表示方法(真值表法、逻辑式法、卡诺图法及逻辑图法)及其相互之间的转换。 [教学内容] 1.模拟信号与数字信号 2.数字电路的含义、研究对象、特点与应用 3.数制、不同数制间的转换;码制、数码之间的相互转换 4.逻辑代数的三种基本运算――与、或、非 5.逻辑函数的四种表示方法(真值表法、逻辑式法、卡诺图法及逻辑图法)及其相互之间的转换 1.1 模拟信号与数字信号 一、模拟信号 模拟信号是一种时间上和数值上都连续的物理量,从自然界感知的大部分物理量都是模拟性质的,如速度、压力、温度、声音、重量以及位置等都是最常见的物理量。 图1.1.1

频率为50Hz ,美国为60Hz。调幅波的射频信号在 530Hz~1600kHz之间。调频波的射频信号在880MHz~108MHz之间。甚高频(VHF)和超高频(UHF)视频信号在6GHz以上。 二、数字信号 1.二值数字逻辑和逻辑电平――二进制数正好是利用二值数字逻辑中的0和1来表示的。二值数字逻辑是Binary Digital Logic的译称。 与模拟信号相反,数字信号在时间上和数值上均是离散的,而离散信号的值只有真或假,是与不是,因此可以使用二进制数中的0和1来表示。需要注意的是这里的0和1指的是逻辑0和逻辑1。 应该注意的是逻辑电平不是一个具体的物理量,而是物理量的相对表示。 表1.1.1 逻辑电平与电压值的关系

数字逻辑考试题

数字逻辑考试题(一) 一、填空(每空1分,共17分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 输出高电平 、输出低电平 、 输出高阻态 三种状态。 4. 试举出CMOS 三个电路的优点 、 、 。 5. )(CD B B A Y +=则其对偶式Y ’为 。 6. ABC C B A C AB C B A Y ++=),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 8. 半导体存储器对存储单元的寻址一般有 和矩阵译码两种方式。 9. 一片8K ×8位的ROM 存储器有 个字,字长为 位。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(5分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 2. 下列哪个元件是CMOS 器件( )。 A. 74S00 B. 74LS00 C. 74HC00 D. 74H00 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。

数字逻辑基础 作业及参考答案

第一章 数字逻辑基础 作业及参考答案 P43 1-7 列出下列问题的真值表,并写出逻辑函数表达式 (1)3个输入信号A 、B 、C ,如果3个输入信号都为1或其中两个信号为0,输出信号F 为1,其余情况下输出信号F 为0 。 (2)4个输入信号A 、B 、C 、D ,如果4个输入信号出现偶数个0时,输出信号F 为1,其余情况下,输出信号F 为0. (1)解:根据题意列出真值表如下:(2)解:根据题意列出真值表如下: ABC C B A C B A C B A F +++= ABCD D C AB D C B A D C B A D BC A D C B A D C AB D C B A F +++++++= 1-8 写出下列函数的反函数表达式和对偶函数表达式 解:(1)C AB F += C B A F ?+=)( C B A F ?+=)(' (2)C B A F +⊕= C B A F +⊕= C B A B A F ?+?+=)()(' (3)E BD AC D B A F )()(+++= ])()[()]([E D B C A D B A F ++?+?++= ])()[()('E D B C A BD A F ++?+?+= (4) CD A C B A B A F ++=)( )(CD A C B A B A F ++= )()('D C A C B A B A F ++?+++=

1-9 证明下列等式 (1)))(())()((C A B A C B C A B A ++=+++ 证明:))(())()((C B BC B A AC C B C A B A +++=+++ BC BC A B A AC ABC ++++=BC B A AC ++=))((C A B A ++= 证毕。 (2)E CD A E D C CD A ABC A ++=++++)( 证明:E D C CD A A E D C CD A ABC A )()(+++=++++ E D C A E D C D C A E D C CD A +++=++++=+++=)()( E CD A ++= 证毕。 (3)BC A BC D C A B A C A +=+++ 证明:BC B C A BC B A C A BC D C A B A C A ++=++=+++)( BC A BC BC A +=+=)( 证毕。 (4)D C D C B A D AC D C B D C A ⊕=+++⊕)( 证明:D C A D AC D C B D C A D C B A D AC D C B D C A +++⊕=+++⊕)()( )()()()(D C D C B D C D C A D C B D C A ⊕=+⊕=⊕++⊕= 证毕。 1-10 画出实现逻辑表达式BD E CD AB F ++=)(的逻辑电路图。 解:BD E CD AB F ++=)(BD CDE ABE ++=

数字逻辑复习题

数字逻辑复习题 一、选择题 1、已知函数的对偶式为,则它的原函数为 ( A )。 A、) ? A+ + B ? + B ( ) (C D C B、 C、 D、 2.对于D触发器,欲使Q n+1=Q n,应使输入D= ( C ) 。 A、0 B、1 C、Q D、 3.同步时序电路和异步时序电路比较,其差异在于后者( B )。 A、没有触发器 B、没有统一的时钟脉冲控制 C、没有稳定状态 D、输出只与内部状态有关 4.十进制数25用8421BCD码表示为( B ) 。 A、10 101 B、0010 0101 C、100101 D、10101 5.对于JK触发器,若J=K,则可完成( C ) 触发器的逻辑功能。 A、RS B、D C、T D、Tˊ 6.若在编码器中有50个编码对象,则要求输出二进制代码位数为 ( B )位。 A、5 B、6 C、10 D、50 7、十进制数33的余3码为( C ) 。 A、 00110110 B、110110 C、01100110 D、 100100 8、下列触发器中,没有约束条件的是 ( D )。 A、基本RS触发器 B、主从RS触发器

C、同步RS触发器 D、边沿D触发器 9.用555定时器构成单稳态触发器,其输出的脉宽为( B ) A.0.7RC; B.1.1RC; C.1.4RC; D.1.8RC; 10.随机存取存储器RAM中的内容,当电源断掉后又接通,则存储器中的内容将如何变换?(A ) A.全部改变 B.全部为1 C.不确定 D.保持不变 11、(53) 10 转换为二进制数是 ( A )。 A、110101 B、101101 C、111001 D、001101 12.N个触发器可以构成能寄存多少位二进制数码的寄存器?( B ) A.N-1 B.N C.N+1 D.2N 13. 下列电路中,实现逻辑功能的是( A )。 C D 14.若用JK 触发器来实现特性方程为,则JK端的方程( A )。 A、J=AB,K= B、J=AB,K= C、J=,K=AB D、J=,K=AB 15、已知逻辑函数 ,与其相等的函数为( D )。

数字逻辑第6章习题解答

习题六 6.1 可编程逻辑器件有哪些主要特点? PLD作为一种通用型可编程逻辑器件,而它的逻辑功能又是由用户通过对器件编程来自行设定的。它可以把一个数字系统集成在一片PLD上,而不必由芯片制造厂商去设计和制作专用集成芯片。 采用PLD设计数字系统和中小规模相比具有如下特点: (1) 减小系统体积:单片PLD有很高的密度,可容纳中小规模集成电路的几片到十几片。(低密度PLD小于700门/片,高密度PLD每片达数万门,最高达25万门)。 (2) 增强逻辑设计的灵活性:使用PLD器件设计的系统,可以不受标准系列器件在逻辑功能上的限制;用户可随时修改。 (3) 缩短设计周期:由于可完全由用户编程,用PLD设计一个系统所需时间比传统方式大为缩短; (4) 用PLD与或两级结构实现任何逻辑功能,比用中小规模器件所需的逻辑级数少。这不仅简化了系统设计,而且减少了级间延迟,提高了系统的处理速度; (5) 由于PLD集成度高,测试与装配的量大大减少。PLD可多次编程,这就使多次改变逻辑设计简单易行,从而有效地降低了成本; (6) 提高系统的可靠性:用PLD器件设计的系统减少了芯片数量和印制板面积,减少相互间的连线,增加了平均寿命, 提高抗干扰能力,从而增加了系统的可靠性; (7) 系统具有加密功能:多数PLD器件,如GAL或高密度可编程逻辑器件,本身具有加密功能。设计者在设计时选中加密项,可编程逻辑器件就被加密。器件的逻辑功能无法被读出,有效地防止电路被抄袭。 6.2 常见PLD器件有哪些主要类型? 常见PLD器件根据可编程逻辑器件问世的时间,我们把PLA、PAL和GAL称为早期的可编程逻辑器件,把CPLD及FPGA称为近代的可编程逻辑器件。也有人把它们分别称为低密度PLD和高密度PLD。 6.3 简述PAL和PLA在结构上的主要区别。 PAL是由可编程的与阵列、固定的或阵列和输出电路三部分组成。有些PAL器件中,输出电路包含触发器和从触发器输出端到与阵列的反馈线,便于实现时序逻辑电路。同一型号的PAL器件的输入、输出端个数固定。在PAL器件的两个逻辑阵列中,与阵列可编程,用来产生函数最简与–或式中所必需的乘积项,PAL器件的或阵列不可编程,它完成对指定乘积项的或运算,产生函数的输出。 PLA的逻辑结构与PROM类似,也是由一个与阵列和一个或阵列构成。所不同的是,它的与阵列和或阵列一样是可编程的。而且,n个输入变量的与阵列不再是产生n2个与项,而是有P个与门就提供P个与项,每个与项与哪些变量相关可由编程决定。或阵列通过编程可选择需要的与项相或,形成与–或函数式。由PLA实现的与–或函数式一般是最简与–或表达式。 6.4 说明PAL器件输出及反馈电路的结构类型及其特点。 在品种较多的PAL器件中,其“与”阵列的结构是类同的,不同的是门阵列规模的大小和输出电路的结构。常见的输出结构有组合型输出和寄存器型输出两类。 (1) 组合型输出结构 组合输出型结构适用于组合电路。常见的有或门输出、或非门输出、与或门输出、与或非门输出以及带互补输出端的或门等。或门的输入端数,不尽相同,一般在2~8个之间。有的输

《数字逻辑》(第二版)习题答案 第六章

习题六 1分析图1所示脉冲异步时序逻辑电路。 (1) 作出状态表和状态图; (2) 说明电路功能。 图1 解答 (1)该电路是一个Mealy型脉冲异步时序逻辑电路。其输出函数和激励函 数表达式为 (2)电路的状态表如表1所示,状态图如图2所示。

图2 (3) 由状态图可知,该电路是一个三进制计数器。电路中有一个多余状态10, 且存在“挂起”现象。 2 分析图3所示脉冲异步时序逻辑电路。 (1) 作出状态表和时间图; (2) 说明电路逻辑功能。 图3 解答 ○1该电路是一个Moore型脉冲异步时序逻辑电路,其输出即电路状 ○2电路状态表如表2所示,时间图如图4所示。

表2 图4 ○ 3 由状态表和时间图可知,该电路是一个模6计数器。 3 分析图5所示脉冲异步时序逻辑电路。 (1) 作出状态表和状态图; (2) 说明电路逻辑功能。 图5

解答 ○1该电路是一个Moore型脉冲异步时序逻辑电路,其输出函数和激励函数表达式为 ○2该电路的状态表如表3所示,状态图如图6所示。 图6 ○3该电路是一个“x1—x2—x3”序列检测器。 4分析图7所示脉冲异步时序电路,作出时间图并说明该电路逻辑功能。

图7 解答 ○1该电路是一个Moore型脉冲异步时序逻辑电路,其输出即电路状 态。激励函数表达式为 ○2电路次态真值表如表4所示,时间图如图8所示。 图8 ○3该电路是一个模4计数器。 5 用D触发器作为存储元件,设计一个脉冲异步时序电路。该电路在输入端x的脉冲作用下,实现3位二进制减1计数的功能,当电路状态为“000”时,在输入

数字逻辑 第一章 作业参考答案

第一章 数字逻辑基础 作业及参考答案 (2008.9.25) P43 1-11 已知逻辑函数A C C B B A F ++=,试用真值表、卡诺图和逻辑图表示该函数。 解:(1)真值表表示如下: 输 入 输出 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 (2)卡诺图表示如下: 00 01 11 10 0 0 1 0 1 1 1 1 1 1 由卡诺图可得 C B C B A F ++==C B C B A ?? (3)逻辑图表示如下: 1-12 用与非门和或非门实现下列函数,并画出逻辑图。 解:(1)BC AB C B A F +=) ,,(BC AB ?= (2))+(?)+(=),,,(D C B A D C B A F D C B A +++= 题1-12 (1) 题1-12 (2) A BC

1-14 利用公式法化简下列函数为最简与或式。 解:(2)C AB C B BC A AC F +++=C AB C B BC A AC +??= C AB C B C B A C A ++?++?+=)()()( C AB C B C C B C A C A B A ++?++++=)()( C AB C C B C B C A C AB C A C B A C B A ++++++++= C AB C C B C B C A C AB C A C B A C B A ++++++++= C = 解(3)DE E B ACE BD C A AB D A AD F +++++++= DE E B BD C A A ++++= E B BD C A +++= 解(5)))()((D C B A D C B A D C B A F +++++++++= D C AB BCD A ABCD F ++=' D C AB BCD +=ABD BCD += D B AC D B A D C B F ++=)++)(++(=∴ P44 1-15利用卡诺图化简下列函数为最简与或式。 解:(3))+++)(+++)(+++)(+++(=D C B A D C B A D C B A D C B A F 方法1:)+++)(+++)(+++(=D C B A D C B A D C B A F ))((D C B A D CD D A D C C A D B C B B B A AD AC B A ++++++++++++++= ))((D C B A D C A B AC ++++++= D C BD AD D C A C A C B A D B C B B A D AC ABC AC +++++++++++= D C BD AD C A D B C B B A AC +++++++= 方法2:D C AB CD B A D BC A F ++= 00 01 11 10 00 0 0 1 0 01 0 0 0 1 11 1 0 0 0 10 F 的卡诺图 AD D B BD C A AC F ++++= AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 0 11 0 1 1 1 10 1 1 1 1 F 的卡诺图 AB CD

第1章:数字逻辑基础

一:填空题 1:在数字电路和计算机中,只用和两种符号表示信息。 2:数字电路只有、和三种基本电路。 3:十进制数26.625对应的二进制数为;十六进制数5FE对应的二进制数为。 4: (100101010011.00110111)8421BCD表示的十进制数为。 5:描述逻辑函数各个变量取值组合和函数值对应关系的表格叫,用与、或、非等运算表示函数中各个变量之间描述逻辑关系的代数式叫。 6:任意两个最小项之积恒为;全体最小项之和恒为。

7:逻辑函数CD =,其反函数 F+ A B F,其对偶式=F。 = 8:函数ADE + + =的最简与或式 F+ D C AC B A 为。 9:8421BCD (10010000.1000)=( )2. (0010 0111)+5421BCD 10:有函数() F AC BC B A C =++⊕,其最简与或表达式为F=( ). 11:F(A,B,C,D)=∑m(0,4,6,8,13)+∑d(1,2,3,,9,10,11), 其最简与或表达式F=( ). 12:8421 ()=( )2。 10010111.0101BCD 13:有函数F AB AC BC ACD =+++,其最简与或表达式为F=( ). 14:F(A,B,C,D)=∑m(0,1,8,10)+∑

d(2,3,4,5,11), 其最简与或表达式为F=( ). 15:将下列二进制数转换为十进制数(0.1001)2 = 16、将下列十进制数转换为二进制数(49) = 10 17:将下列二进制数转换为十进制数(11011)2 = 18:将下列十进制数转换为二进制数(52.625)10 = 19:若CD F+ =,则='F,=F A B 20:若D (+ + + =,则其最简与或表达式 F) B C B AD C A 21:若BC + + A F+ =,则='F,=F A B C 22:若) F+ B + + D =,则其最简与或表达 + B D )( D (B D C A 式 二:单项选择题 1:表示一个两位十进制数至少需要()位二进制数。 A:5 B:6 C:7 D:8