搜档网
当前位置:搜档网 › Lunch_pad MSP430G2553 PWM波输出 舵机控制

Lunch_pad MSP430G2553 PWM波输出 舵机控制

Lunch_pad MSP430G2553 PWM波输出 舵机控制
Lunch_pad MSP430G2553 PWM波输出 舵机控制

LUNCHPAD

MSP430G2553

PWM波输出

舵机控制

定时器是单片机常用的其本设备,用来产生精确计时或是其他功能;msp430的定时器不

仅可以完成精确定时,还能产生PWM波形输出,和捕获时刻值(上升沿或是下降沿到来的

时候)。这里完成一个比较通用的PWM波形产生程序。

1.硬件介绍:

MSP430系列单片机的TimerA结构复杂,功能强大,适合应用于工业控制,如数字化电机控制,电表和手持式仪表的理想配置。它给开发人员提供了较多灵活的选择余地。当PWM 不需要修改占空比和时间时,TimerA能自动输出PWM,而不需利用中断维持PWM输出。

单片机内部均含有两个定时器,TA和TB;TA有三个模块,CCR0-CCR2;TB含有CCR0-CCR67个模块;其中CCR0模块不能完整的输出PWM波形(只有三种输出模式可用);TA可以输出完整的2路PWM波形;TB可以输出6路完整的PWM波形。

定时器的PWM输出有有8种模式:

输出模式0 输出模式:输出信号OUTx由每个捕获/比较模块的控制寄存器CCTLx 中的OUTx位定义,并在写入该寄存器后立即更新。最终位OUTx直通。

输出模式1 置位模式:输出信号在TAR等于CCRx时置位,并保持置位到定时器复位或选择另一种输出模式为止。

输出模式2 PWM翻转/复位模式:输出在TAR的值等于CCRx时翻转,当TAR的值等于CCR0时复位。

输出模式3 PWM置位/复位模式:输出在TAR的值等于CCRx时置位,当TAR的值等于CCR0时复位。

输出模式4 翻转模式:输出电平在TAR的值等于CCRx时翻转,输出周期是定时器周期的2倍。

输出模式5复位模式:输出在TAR的值等于CCRx时复位,并保持低电平直到选择另一种输出模式。

输出模式6PWM翻转/置位模式:输出电平在TAR的值等于CCRx时翻转,当TAR 值等于CCR0时置位。

输出模式7PWM复位/置位模式:输出电平在TAR的值等于CCRx时复位,当TAR 的值等于CCR0时置位。

1.计数模式:

增计数模式

捕获/比较寄存器CCR0用作Timer_A增计数模式的周期寄存器,因为CCR0为16位寄存器,所以该模式适用于定时周期小于65 536的连续计数情况。计数器TAR可以增计数到CCR0的值,当计数值与CCR0的值相等(或定时器值大于CCR0的值)时,定时器复位并从0开始重新计数。

连续计数模式

在需要65 536个时钟周期的定时应用场合常用连续计数模式。定时器从当前值计数到0FFFFH后,又从0开始重新计数

增/减计数模式

需要对称波形的情况经常可以使用增/减计数模式,该模式下,定时器先增计数到CCR0的值,然后反向减计数到0。计数周期仍由CCR0定义,它是CCR0计数器数值的2倍。

1.TA定时器有比较、捕获两种工作方式;比较可以产生PWM波形等,捕获可以精确的测量时间;这里用的是比较输出。

硬件介绍就这么多了,其他的可以参考msp430g2553—users_guide(用户指南)。

2.程序实现:

下面就给大家介绍msp430g2553生成pwm波。并控制舵机的转动,如果只需要生成pwm波的程序可以自行修改,其中主函数前一部分就是生成pwm波的部分。

#include "msp430g2553.h"

#define MCU_CLOCK 1000000

#define PWM_FREQUENCY 44 // 当为44时,频率刚好50hz.

#define SERVO_STEPS 180 // 设置一度步进

#define SERVO_MIN 650 // 最小值0°

#define SERVO_MAX 2700 // 大概转动180°

unsigned int PWM_P =(MCU_CLOCK / PWM_FREQUENCY);// PWM 的频率

void main (void)

{

unsignedintservo_stepval, servo_stepnow;

unsignedintservo_lut[200];

unsignedint i;

// 计算步长值和当前步骤,默认定义为最小

servo_stepval=((SERVO_MAX - SERVO_MIN)/ SERVO_STEPS );

servo_stepnow = SERVO_MIN;

// 赋值LUT

for(i =0;i<200; i++)

{

servo_stepnow += servo_stepval;

servo_lut[i]=servo_stepnow;

}

// 设置PWM波的输出

WDTCTL = WDTPW + WDTHOLD; // 关闭看门狗

TACCTL1 = OUTMOD_7; // TACCR1 复位/设置

TACTL = TASSEL_2 + MC_1; // SMCLK, upmode

TACCR0 = PWM_P; // PWM 频率

TACCR1 = servo_lut[0]; // TACCR1 PWM 占空比设置

P1DIR |= BIT2; // 设置P1.2为普通IO 口

P1SEL |= BIT2; // 设置P1.2为TA1输出

//转动角度设置

while(1){

// Go to 0°;+duty 3.0%。

TACCR1 = servo_lut[0];

__delay_cycles(1000000);

// Go to 45°;+duty 4.8%。

TACCR1 = servo_lut[45];

__delay_cycles(1000000);

// Go to 90°; +duty 7.4%.

TACCR1 = servo_lut[90];

__delay_cycles(1000000);

// Go to 135°; +duty 9.8%. TACCR1 = servo_lut[146]; __delay_cycles(1000000);

// Go to 180°; +duty 12.3%. TACCR1 = servo_lut[190]; __delay_cycles(1000000);

// Go to 0°;+duty 3.0%。TACCR1 = servo_lut[0];

__delay_cycles(1000000); }*/

}

51单片机程序:按键控制舵机角度

#include "reg52.h" unsigned char count; //0.5ms次数标识 sbit pwm =P2^7 ; //PWM信号输出 sbit jia =P2^4; //角度增加按键检测IO口 sbit jan =P2^5; //角度减少按键检测IO口 unsigned char jd=5; //角度标识 void delay(unsigned char i)//延时 { unsigned char j,k; for(j=i;j>0;j--) for(k=125;k>0;k--); } void Time0_Init() //定时器初始化 { TMOD = 0x01; //定时器0工作在方式1 IE = 0x82; TH0 = 0xfe; TL0 = 0x33; //11.0592MZ晶振,0.5ms TR0=1; //定时器开始 } void Time0_Int() interrupt 1 //中断程序 { TH0 = 0xfe; //重新赋值 TL0 = 0x33; if(count< jd) //判断0.5ms次数是否小于角度标识 pwm=1; //确实小于,PWM输出高电平 else pwm=0; //大于则输出低电平 count=(count+1); //0.5ms次数加1 count=count%40; //次数始终保持为40 即保持周期为20ms } void keyscan() //按键扫描 { if(jia==0) //角度增加按键是否按下 { delay(10); //按下延时,消抖 if(jia==0) //确实按下 { jd++; //角度标识加1 count=0; //按键按下则20ms周期从新开始 if(jd==6) jd=5; //已经是180度,则保持 while(jia==0); //等待按键放开

舵机知识

DIYer修炼:舵机知识扫盲 双向电梯 ? 1 简介 ? 2 舵机的结构和原理 ? 3 选择舵机 ? 4 舵机的支架和连接装置 ? 5 如何控制舵机 ? 6 舵机应用:云台网络摄像头 ?7 如何DIY连续旋转的舵机 ?8 连续旋转舵机的应用:5分钟的绘图机器人 1 简介 舵机控制的机器人 ● 我猜你肯定在机器人和电动玩具中见到过这个小东西,至少也听到过它转起来时那与众不同的“吱吱吱”的叫声。对,它就是遥控舵机,常用在机器人技术、电影效果制作和木偶控制当中,不过让人大跌眼镜的是,它竟是为控制玩具汽车

和飞机才设计的。 ● 舵机的旋转不像普通电机那样只是古板的转圈圈,它可以根据你的指令旋转到0至180度之间的任意角度然后精准的停下来。如果你想让某个东西按你的想法运动,舵机可是个不错的选择,它控制方便、最易实现,而且种类繁多,总能有一款适合你呦。 ● 用不着太复杂的改动,舵机就可摇身一变成为一个高性能的、数字控制的、并且可调速的齿轮电机。在这篇文章中,我会介绍舵机使用的的一些基础知识以及怎样制作一个连续运转舵机。 2 舵机的结构和原理

A.标准舵机图解 ● 遥控舵机(或简称舵机)是个糅合了多项技术的科技结晶体,它由直流电机、减速齿轮组、传感器和控制电路组成,是一套自动控制装置,神马叫自动控制呢?所谓自动控制就是用一个闭环反馈控制回路不断校正输出的偏差,使系统的输出保持恒定。我们在生活中常见的恒温加热系统就是自动控制装置的一个范例,其利用温度传感器检测温度,将温度作为反馈量,利用加热元件提输出,当温度低

于设定值时,加热器启动,温度达到设定值时,加热器关闭,这样不就使温度始终保持恒定了吗。 B.闭环反馈控制 ● 对于舵机而言呢,位置检测器是它的输入传感器,舵机转动的位置一变,位置检测器的电阻值就会跟着变。通过控制电路读取该电阻值的大小,就能根据阻

舵机工作原理

控制思想 该模块的程序框图如图4.5 所示。车模在行驶过程中不断采样赛道信息,并通过分析车模与赛道相对位置判断车模所处赛道路况,是弯道还是直道,弯道时是左转还是右转。直道时小车舵机状态保持不变,弯道时左转或右转,计算转弯半径。我们所用舵机的标准PWM 周期为20ms,转动角度最大为左右90度,PWM调制波如图7.2所示。

当给舵机输入脉宽为0.5ms,即占空比为0.5/20=2.5%的调制波时,舵机右转90度;当给舵机输入脉宽为1.5ms,即占空比为1.5/20=7.5%的调制波时,舵机静止不动;当给舵机输入脉宽为2.5ms,即占空比为2.5/20=12.5%的调制波时,舵机左转90度。可以推导出舵机转动角度与脉冲宽度的关系计算公式为: 注:其中t为正脉冲宽度(ms);θ为转动角度;当左转时取加法计算,右转时取减法计算结果。 当我们根据赛道弯度计算出转动角度以后便可以根据舵机的参数计算出脉冲宽度,控制舵机转动,舵机转角与PWM脉宽关系如表4-1所示。

在具体操作中PWM调制波的周期可以设置在20ms左右一定范围内,比如设置为10ms 或是30ms均可以使舵机正常转动,但是设置周期较长时,系统延迟时间较多,舵机转向会出现滞后,导致赛车冲出跑道;设置周期如果过短,系统输出PWM 调制波不稳定,舵机转动也会受影响,不能实现赛车的精确转向。经过反复测试,最终把输出PWM 调制波周期设定为13ms (用计数器实现)。 运行电机的转速以及舵机的转角,在软件上都是通过对PWM 波占空比进行设置来相应控制的。前面提到,舵机转角控制需要将两个

八位寄存器合成为一个十六位寄存器。程序中的舵机位置信号,当PWM调制波周期设为13ms时,因为总线频率为24MHz,用时钟SB,可计算得到16进制参数为9870H,舵机中间位置时占空比16进制参数为1680H,要分配给PWM6和7,分配时这2个端口的赋值必须是16进制,那么PWM模块初始化赋值为 PWMPER6= 0x98,PWMPER7= 0x70,PWMDTY6= 0x16,PWMDTY7= 0x80,因此这就牵涉到如何将1个十进制数分配为2个十六进制数问题。有2种方案,一种是除法取余,另一种是移位操作,前者编译生成的代码比后者要多,所以采用移位操作来实现,即取高位时与0xFF00先作“&”计算,然后将所得到的数向右移8位(>>8),即可取得高8位;同理,取低8位时只要与0x00FF作“&”计算即可(算法)。 2、结构和控制 一般来讲,舵机主要由以下几个部分组成,舵盘、减速齿轮组、位置反馈电位计5k、直流电机、控制电路板等。 工作原理:控制电路板接受来自信号线的控制信号(具体信号待会再讲),控制电机转动,电机带动一系列齿轮组,减速后传动至输出舵盘。舵机的输出轴和位置反馈电位计是相连的,舵盘转动的同时,带动位置反馈电位计,电位计将输出一个电压信号到控制电路板,进行反馈,然后控制电路板根据所在位置决定电机的转动方向和速度,从而达到目标停止。

详细的舵机控制原理资料

目录 一.舵机PWM信号介绍 (1) 1.PWM信号的定义 (1) 2.PWM信号控制精度制定 (2) 二.单舵机拖动及调速算法 (3) 1.舵机为随动机构 (3) (1)HG14-M舵机的位置控制方法 (3) (2)HG14-M舵机的运动协议 (4) 2.目标规划系统的特征 (5) (1)舵机的追随特性 (5) (2)舵机ω值测定 (6) (3)舵机ω值计算 (6) (4)采用双摆试验验证 (6) 3.DA V的定义 (7) 4.DIV的定义 (7) 5.单舵机调速算法 (8) (1)舵机转动时的极限下降沿PWM脉宽 (8) 三.8舵机联动单周期PWM指令算法 (10) 1.控制要求 (10) 2.注意事项 (10) 3.8路PWM信号发生算法解析 (11) 4.N排序子程序RAM的制定 (12) 5.N差子程序解析 (13) 6.关于扫尾问题 (14) (1)提出扫尾的概念 (14) (2)扫尾值的计算 (14)

一.舵机PWM 信号介绍 1.PWM 信号的定义 PWM 信号为脉宽调制信号,其特点在于他的上升沿与下降沿之间的时间宽度。具体的时间宽窄协议参考下列讲述。我们目前使用的舵机主要依赖于模型行业的标准协议,随着机器人行业的渐渐独立,有些厂商已经推出全新的舵机协议,这些舵机只能应用于机器人行业,已经不能够应用于传统的模型上面了。 目前,北京汉库的HG14-M 舵机可能是这个过渡时期的产物,它采用传统的PWM 协议,优缺点一目了然。优点是已经产业化,成本低,旋转角度大(目前所生产的都可达到185度);缺点是控制比较复杂,毕竟采用PWM 格式。 但是它是一款数字型的舵机,其对PWM 信号的要求较低: (1) 不用随时接收指令,减少CPU 的疲劳程度; (2) 可以位置自锁、位置跟踪,这方面超越了普通的步进电机; 其PWM 格式注意的几个要点: (1 ) 上升沿最少为0.5mS ,为0.5mS---2.5mS 之间; (2) HG14-M 数字舵机下降沿时间没要求,目前采用0.5Ms 就行;也就是说PWM 波形 可以是一个周期1mS 的标准方波; (3) HG0680为塑料齿轮模拟舵机,其要求连续供给PWM 信号;它也可以输入一个周 期为1mS 的标准方波,这时表现出来的跟随性能很好、很紧密。

舵机原理

1、概述 舵机最早出现在航模运动中。在航空模型中,飞行机的飞行姿态是通过调节发动机和各个控制舵面来实现的。举个简单的四通飞机来说,飞机上有以下几个地方需要控制: 1) 发动机进气量,来控制发动机的拉力(或推力); 2) 副翼舵面(安装在飞机机翼后缘),用来控制飞机的横 滚运动; 3) 水平尾舵面,用来控制飞机的俯仰角; 4) 垂直尾舵面,用来控制飞机的偏航角; 不仅在航模飞机中,在其他的模型运动中都可以看到它的应用:船模上用来控制尾舵,车模中用来转向等等。由此可见,凡是需要操 作性动作时都可以用舵机来实现。 2、结构和控制 一般来讲,舵机主要由以下几个部分组成,舵盘、减速齿轮组、位置反馈电位计5k、直流电机、控制电路板等。

工作原理:控制电路板接受来自信号线的控制信号,控制电机转动,电机带动一系列齿轮组,减速后传动至输出舵盘。舵机的输出轴和位置反馈电位计是相连的,舵盘转动的同时,带动位置反馈电位计,电位计将输出一个电压信号到控制电路板,进行反馈,然后控制电路板根据所在位置决定电机的转动方向和速度,从而达到目标停止。 舵机的基本结构是这样,但实现起来有很多种。例如电机就有有刷和无刷之分,齿轮有塑料和金属之分,输出轴有滑动和滚动之分,壳体有塑料和铝合金之分,速度有快速和慢速之分,体积有大中小三种之分等等,组合不同,价格也千差万别。例如,其中小舵机一般称作微舵,同种材料的条件下是中型的一倍多,金属齿轮是塑料齿轮的一倍多。需要根据需要选用不同类型。 舵机的输入线共有三条,红色中间,是电源线,一边黑色的是地线,这辆根线给舵机提供最基本的能源保证,主要是电机的转动消耗。电源有两种规格,一是4.8V,一是6.0V,分别对应不同的转矩标准,即输出力矩不同,6.0V对应的要大一些,具体看应用条件;另外一根线是控制信号线,Futaba的一般为白色,JR的一般为桔黄色。另外要注意一点,SANWA的某些型号的舵机引线电源线在边上而

单片机程序按键控制舵机角度

#i n c l u d e"r e g52.h" unsigned char count; //0.5ms次数标识 sbit pwm =P2^7 ; //PWM信号输出 sbit jia =P2^4; //角度增加按键检测IO口 sbit jan =P2^5; //角度减少按键检测IO口 unsigned char jd=5; //角度标识 void delay(unsigned char i)//延时 { unsigned char j,k; for(j=i;j>0;j--) for(k=125;k>0;k--); } void Time0_Init() //定时器初始化 { TMOD = 0x01; //定时器0工作在方式1 IE = 0x82; TH0 = 0xfe; TL0 = 0x33; //11.0592MZ晶振,0.5ms TR0=1; //定时器开始 } void Time0_Int() interrupt 1 //中断程序 {

TH0 = 0xfe; //重新赋值 TL0 = 0x33; if(count< jd) //判断0.5ms次数是否小于角度标识 pwm=1; //确实小于,PWM输出高电平 else pwm=0; //大于则输出低电平 count=(count+1); //0.5ms次数加1 count=count%40; //次数始终保持为40 即保持周期为20ms } void keyscan() //按键扫描 { if(jia==0) //角度增加按键是否按下 { delay(10); //按下延时,消抖 if(jia==0) //确实按下 { jd++; //角度标识加1 count=0; //按键按下则20ms周期从新开始 if(jd==6) jd=5; //已经是180度,则保持 while(jia==0); //等待按键放开 }

航模舵机控制原理详解

在机器人机电控制系统中,舵机控制效果是性能的重要影响因素。舵机可以在微机电系统和航模中作为基本的输出执行机构,其简单的控制和输出使得单片机系统非常容易与之接口。 舵机是一种位置(角度)伺服的驱动器,适用于那些需要角度不断变化并可以保持的控制系统。目前在高档遥控玩具,如航模,包括飞机模型,潜艇模型;遥控机器人中已经使用得比较普遍。舵机是一种俗称,其实是一种伺服马达。 其工作原理是: 控制信号由接收机的通道进入信号调制芯片,获得直流偏置电压。它内部有一个基准电路,产生周期为20ms,宽度为1.5ms的基准信号,将获得的直流偏置电压与电位器的电压比较,获得电压差输出。最后,电压差的正负输出到电机驱动芯片决定电机的正反转。当电机转速一定时,通过级联减速齿轮带动电位器旋转,使得电压差为0,电机停止转动。当然我们可以不用去了解它的具体工作原理,知道它的控制原理就够了。就象我们使用晶体管一样,知道可以拿它来做开关管或放大管就行了,至于管内的电子具体怎么流动是可以完全不用去考虑的。 3. 舵机的控制: 舵机的控制一般需要一个20ms左右的时基脉冲,该脉冲的高电平部分一般为0.5ms~2.5ms 范围内的角度控制脉冲部分。以180度角度伺服为例,那么对应的控制关系是这样的: 0.5ms--------------0度; 1.0ms------------45度; 1.5ms------------90度; 2.0ms-----------135度; 2.5ms-----------180度; 这只是一种参考数值,具体的参数,请参见舵机的技术参数。 小型舵机的工作电压一般为4.8V或6V,转速也不是很快,一般为0.22/60度或0.18/60度,所以假如你更改角度控制脉冲的宽度太快时,舵机可能反应不过来。如果需要更快速的反应,就需要更高的转速了。 要精确的控制舵机,其实没有那么容易,很多舵机的位置等级有1024个,那么,如果舵机的有效角度范围为180度的话,其控制的角度精度是可以达到180/1024度约0.18度了,从时间上看其实要求的脉宽控制精度为2000/1024us约2us。如果你拿了个舵机,连控制精度为1度都达不到的话,而且还看到舵机在发抖。在这种情况下,只要舵机的电压没有抖动,那抖动的就是你的控制脉冲了。而这个脉冲为什么会抖动呢?当然和你选用的脉冲发生器有

51控制舵机程序大全

#include void InitTimer0(void) { TMOD = 0x01; TH0 = 0x0B1; TL0 = 0x0E0; EA = 1; ET0 = 1; TR0 = 1; }void delay(1)(void) { unsigned char a,b,c; for(c=1;c>0;c--) for(b=142;b>0;b--) for(a=2;a>0;a--); } void main(void) { InitTimer0(); P1_2=0; while(1); } void Timer0Interrupt(void) interrupt 1 { //20ms中断 TH0 = 0x0B1; TL0 = 0x0E0; P1_2=1; delay(1); P1_2=0; }

#include #include #include #define uchar unsigned char #define uint unsigned int sbit IN1=P0^0; sbit IN2=P0^1; sbit EA1=P0^5; sbitdj=P0^7; //舵机口 uint t=0;//中断次数 ucharzk;//高电平中断次数uchar p=0;//定义pwm占空比void delay(uint z) { uinti,j; for(i=0;i>8;//100us一次中断TL0=-100%256; if(t==0)zk=p; if(t=zk) dj=0; t++; if(t>=200) t=0;//20mspwm周期 } void turn_left() { IN1=1;IN2=0;EA1=1;//电机工作p=5;//0.5ms delay(600); } void turn_right() { IN1=1;IN2=0;EA1=1;//电机工作p=25;//2.5ms delay(600);

舵机控制程序

舵机控制程序 Final revision on November 26, 2020

在机器人机电控制系统中,舵机控制效果是性能的重要影响因素。舵机可以在微机电系统和航模中作为基本的输出执行机构,其简单的控制和输出使得单片机系统非常容易与之接口。 舵机是一种位置伺服的驱动器,适用于那些需要角度不断变化并可以保持的控制系统。其工作原理是:控制信号由接收机的通道进入信号调制芯片,

获得直流偏置电压。它内部有一个基准电路,产生周期为20ms,宽度为的基准信号,将获得的直流偏置电压与电位器的电压比较,获得电压差输出。最后,电压差的正负输出到电机驱动芯片决定电机的正反转。当电机转速一定时,通过级联减速齿轮带动电位器旋转,使得电压差为0,电机停止转动。舵机的控制信号是PWM信

号,利用占空比的变化改变舵机的位置。一般舵机的控制要求如图1所示。 图1 舵机的控制要求 单片机实现舵机转角控制 可以使用FPGA、模拟电路、单片机来产生舵机的控制信号,但FPGA成本高且电路复杂。对于脉宽调制信号的脉宽变换,常用的一种方法是采用调制信号获取有源滤波后的直流电压,但是需要50Hz(周期是20ms)的信号,这对运放器件 的选择有较高要求,从电路体积和功耗考虑也不易采用。5mV 以上的控制电压的变化就会引起舵机的抖动,对于机载的测控系统而言,电源和其他器件的信号噪声都

远大于5mV,所以滤波电路的精度难以达到舵机的控制精度要求。 也可以用单片机作为舵机的控制单元,使PWM信号的脉冲宽度实现微秒级的变化,从而提高舵机的转角精度。单片机完成控制算法,再将计算结果转化为PWM信号输出到舵机,由于单片机系统是一个数字系统,其控制信号的变化完全依靠硬件计数,所以受外界干扰较小,整个系统工作可靠。 单片机系统实现对舵机输出转角的控制,必须首先完成两个任务:首先是产生基本的PWM周期信号,本设计是产生20ms的周期信号;其次是脉宽的调整,即单片机模拟PWM信号的输出,并且调整占空比。当系统中只需要实现一个舵机的控制,采用的控制方式是改变单片机的一个定时器中断的初值,将20ms分为两次中断执行,一次短定时中断和一次长定时中断。这样既节省了硬件电路,也减少了软件开销,控制系统工作效率和控制精度都很高。 具体的设计过程: 例如想让舵机转向左极限的角度,它的正脉冲为2ms,则负脉冲为20ms- 2ms=18ms,所以开始时在控制口发送高电平,然后

舵机及转向控制原理

舵机及转向控制原理 令狐采学 1、概述 2、舵机的组成 3、舵机工作原理 4、舵机选购 5、舵机使用中应注意的事项 6、辉盛S90舵机简介 7、如何利用程序实现转向 8、51单片机舵机测试程序 1、概述 舵机也叫伺服电机,最早用于船舶上实现其转向功能,由于可以通过程序连续控制其转角,因而被广泛应用智能小车以

实现转向以及机器人各类关节运动中,如图1、图2所示。 令狐采学创作 图1舵机用于机器人 图2舵机用于智能小车中 舵机是小车转向的控制机构,具有体积小、力矩大、外部机械设计简单、稳定性高等特点,无论是在硬件设计还是软件设计,舵机设计是小车控制部分重要的组成部分,图3为舵机的外形图。 图3舵机外形图 2、舵机的组成 一般来讲,舵机主要由以下几个部分组成,舵盘、减速齿 轮组、位置反馈电位计、直流电机、控制电路等,如图4、图5所示。 图4舵机的组成示意图 图5舵机组成

舵机的输入线共有三条,如图6所示,红色中间,是电源线,一边黑色的是地线,这辆根线给舵机提供最基本的能源保证,主要是电机的转动消耗。电源有两种规格,一是4.8V, —令狐采学创作是6.0V,分别对应不同的转矩标准,即输出力矩不 同,6.0V对应的要大一些,具体看应用条件;另外一根线是控制信号线,Futaba的一般为白色,JR的一般为桔黄色。另外要注意一点,SANWA的某些型号的舵机引线电源线在边上而不是中间,需要辨认。但记住红色为电源,黑色为地线,一般不会搞错。 图6舵机的输出线 3、舵机工作原理 控制电路板接受来自信号线的控制信号,控制电机转动,电机带动一系列齿轮组,减速后传动至输出舵盘。舵机的输出轴和位置反馈电位计是相连的,舵盘转动的同时,带动位置反馈电位计,电位计将输出一个电压信号到控制电路板,进行反馈,然后控制电路板根据所在位置决定电机转动的方向和速度,从而达到目标停止。其工作流程为:控制信号一控制电路板―电机转动-齿轮组减速-舵盘转动?位置反馈电位计-控制电路板反馈。

舵机控制C程序

舵机控制C程序 #include #defineucharunsignedchar #defineuintunsignedint /* 变量定义 */ ucharkey_stime_counter,hight_votage=15,timeT_counter; bitkey_stime_ok; /* 引脚定义 */ sbitcontrol_signal=P0^0; sbitturn_left=P3^4; sbitturn_right=P3^5; /***************************************************************** 名称:定时器0初始化 功能:20ms定时,11.0592M晶振 初值20ms 初值0.1ms *****************************************************************/ voidTimerInit() { control_signal=0; TMOD=0x01;//设置定时器0为工作方式1 EA=1;//开总中断 ET0=1;//定时器0中断允许 TH0=0xFF;//定时器装初值 TL0=0xA3; TR0=1;//启动定时器0 } /********************************************** 定时器0中断服务函数 ***********************************************/ voidtimer0(void)interrupt1using0 { TH0=0xFF; TL0=0xA3;//定时器0重新装入数值

单片机按键控制舵机转动

忆飞工作室 https://www.sodocs.net/doc/844909862.html, 忆飞电子淘宝店欢迎进入 设计者:曾传辉 时间2012年8月13号 手机:134******** QQ:36439133 旺旺:epiapl_cn 程序名称:按键控制舵机控制 程序效果:单片机两个按键控制左右转,转角90度单片 #include #define Sevro_moto_pwm P1_0 //接舵机信号端输入PWM信号调节速度 #define k1 P2_5 //按键控制舵机左转 #define k2 P2_6 //按键控制舵机右转 unsigned char pwm_val_left = 0;//变量定义 unsigned char push_val_left;// =14;//舵机归中,产生约,1.5MS 信号 unsigned int timer=0; //延时基准变量 unsigned char timer1=0; //扫描时间变量 void pwm_Servomoto(void); //函数申明 unsigned int val,val2,fl1,fl2; //变量申明 /************************************************************************/ void delay(unsigned int k) //延时函数 { unsigned int x,y; for(x=0;x

舵机控制板使用说明(中文)

舵机控制板使用说明V1.2 产品特点 ●采用32位ARM 内核的处理器芯片 ●独创的在线升级机制,用户可以在线升级固件 ●自动识别波特率 ●采用USB和UART通讯接口 ●1us的控制精度(相当于舵机的0.09度) ●可以同时同步控制32个舵机(24路舵机控制板可以同时同步控制24个,16路舵机控制板可以同时 同步控制16个舵机) ●内置512K 存储芯片,可存储上百个动作组 ●功能强大的电脑软件(内置3种语言,简体中文、繁体中文、英语) ●拥有Android手机控制软件 供电 舵机控制板需要2个电源: 舵机电源和芯片电源 舵机电源(正极):VS(图中3号位置的蓝色接线端子的左端) 舵机电源(负极):GND(图中3号位置的蓝色接线端子的中间) 舵机电源的参数根据实际所接舵机的参数而定,如TR213舵机的供电电压是4.8-7.2V,那么舵机电源就可以用电压在4.8-7.2V之间的电源。 芯片电源(正极):VSS(图中3号位置的蓝色接线端子的右端)

芯片电源(负极):GND(图中3号位置的蓝色接线端子的中间) VSS的要求是6.5-12V,如果芯片供电是从VSS端口输入的,那么电源的电压必须是6.5-12V之间。 另外: 1. 图中2号位置的USB接口可以给芯片供电,所以USB接口和VSS端口,任选其一即可。 2. 图中1号位置也可以给芯片供电,标记为5V和GND,5V是正极,GND是负极,供电电源的电压必 须是5V。 3. 图中1、2、3号位置都可以给芯片供电,任选其一即可。 4. 图中4号位置的绿色LED灯是芯片电源正常的指示灯,绿色灯亮,表示芯片供电正常,绿色灯灭,表 示芯片供电异常。 5. 图中5号位置的绿色LED灯是舵机电源正常的指示灯,绿色灯亮,表示舵机供电正常,绿色灯灭,表 示舵机供电异常。 如果需要控制舵机,2个绿色的LED灯都亮是前提条件。

舵机控制型机器人设计要点

课程设计项目说明书 舵机控制型机器人设计 学院机械工程学院 专业班级2013级机械创新班 姓名吴泽群王志波谢嘉恒袁土良指导教师王苗苗 提交日期 2016年4 月1日

华南理工大学广州学院 任务书 兹发给2013级机械创新班学生吴泽群王志波谢嘉恒袁土良 《产品设计项目》课程任务书,内容如下: 1. 题目:舵机控制型机器人设计 2.应完成的项目: 1.设计舵机机器人并实现运动 2.撰写机器人说明书 3.参考资料以及说明: [1] 孙桓.机械原理[M].北京.第六版;高等教育出版社,2001 [2] 张铁,李琳,李杞仪.创新思维与设计[M].国防工业出版社,2005 [3] 周蔼如.林伟健.C++程序设计基础[M].电子工业出版社.北京.2012.7 [4] 唐增宏.常建娥.机械设计课程设计[M].华中科技大学出版社.武汉.2006.4 [5] 李琳.李杞仪.机械原理[M].中国轻工业出版社.北京.2009.8 [6] 何庭蕙.黄小清.陆丽芳.工程力学[M].华南理工大学.广州.2007.1 4.本任务书于2016 年2 月27 日发出,应于2016 年4月2 日前完 成,然后提交给指导教师进行评定。 指导教师(导师组)签发2016年月日

评语: 总评成绩: 指导教师签字: 年月日

目录 摘要 (1) 第一章绪论 (2) 1.1机器人的定义及应用范围 (2) 1.2舵机对机器人的驱动控制 (2) 第二章舵机模块 (3) 2.1舵机 (3) 2.2舵机组成 (3) 2.3舵机工作原理 (4) 第三章总体方案设计与分析 (6) 3.1 机器人达到的目标动作 (6) 3.2 设计原则 (6) 3.3 智能机器人的体系结构 (6) 3.4 控制系统硬件设计 (6) 3.4.1中央控制模块 (7) 3.4.2舵机驱动模块 (7) 3.5机器人腿部整体结构 (8) 第四章程序设计 (9) 4.1程序流程图 (9) 4.2主要中断程序 (9) 4.3主程序 (11) 参考文献 (13) 附录 (14) 一.程序 (14) 二.硬件图 (17)

PWM控制舵机 C程序

#include "reg52.h" sbit control_signal=P0^0; sbit turn_left=P3^0; sbit turn_right=P3^1; unsigned char PWM_ON=15 ;//定义高电平时间 /******************************************************************/ /* 延时函数 */ /******************************************************************/ void delay(unsigned int cnt) { while(--cnt); } void display() { if(PWM_ON>=5&&PWM_ON<=7) P1=0xFD; //1灯亮,舵机接近或到达右转极限位置if(PWM_ON>7&&PWM_ON<=10) P1=0xFB; //2灯亮 if(PWM_ON>10&&PWM_ON<=13) P1=0xF7; //3灯亮 if(PWM_ON>13&&PWM_ON<=16) P1=0xEF; //4灯亮,舵机到达中间位置 if(PWM_ON>16&&PWM_ON<=19) P1=0xDF; //5灯亮 if(PWM_ON>19&&PWM_ON<=22) P1=0xBF; //6灯亮 if(PWM_ON>22&&PWM_ON<=25) P1=0x7F; //7灯亮,舵机接近或到达左转极限位置} /******************************************************************/ /* 主函数 */ /******************************************************************/ void main() { //bit Flag; TMOD |=0x01; //定时器设置 0.1ms in 11.0592M crystal TH0=(65536-78)/256; TL0=(65536-78)%256; //定时0.1mS ET0=1;//定时器中断打开 EA=1;//总中断 //IE= 0x82; //打开中断 TR0=1; // PWM_ON=15 //的取值范围是6-25 while(1) { if(turn_left==0) { delay(1000); if(turn_left==0) { while(!turn_left){}

STM32舵机控制板原理图

1 2 3 4 5 6 A B C D 6 54321D C B A Titl e Number Revision Size B Date:28-Jan-2010Sheet of File: D:\STM 32多功能多路舵机控制板\STM 32多功能多路舵机控制板PR OTEL\STM 32舵机控制板.Ddb Drawn B y :BOOT060NR ST 7 OS C_IN/PD0 5 OS C_OUT/PD1 6 PA0-WKUP 14 PA115PA216PA317PA420PA521PA622PA7 23PA841 PA942PA1043PA1144PA12 45 PA13/JTMS/S WDIO 46PA14/JTCK/S WCLK 49PA15/JTDI 50 PB 026PB 1 27 PB 2/BOOT128PB 3/JTDO 55PB 4/JNTRST 56PB 557PB 658PB 7 59PB 861PB 962PB 1029PB 1130PB 1233PB 1334PB 1435PB 1536PC 08PC 19PC 210PC 311PC 424PC 525PC 637PC 7 38PC 839PC 940PC 1051PC 1152PC 12 53PC 13-TAMPER-RTC 2PC 14-OS C32_IN 3PC 15-OS C32_OUT 4PD254VB AT 1VDD_132VDD_248VDD_364VDD_419VDDA 13 VS S_131VS S_247VS S_363VS S_418VS SA 12 U1 STM 32F 103RB T6 CD/DAT3 1CM D 2VS S 3VDD 4CLK 5VS S 6DAT07DAT18DAT2 9 JP7 SD 卡座 A 1 1A 2A 23 B 16B 5B 2 4 JP11KEY C1+ 1 V+2C1-3C2+4 C2-5 V-6 T2out 7 R2i n 8VC C 16 GND 15T1out 14R1i n 13R1out 12T1i n 11T2i n 10R2out 9 JP13MAX232 1 62738495J7 DB 9 Y18M HZ C3 20p C4 20p OS C_IN OS C_OUT US ART1_TX US ART1_RX C9 0.1uf C110.1uf C100.1uf C80.1uf C12 0.1uf 1 234J5CON4 1234J6CON4 1 234J4CON4 1234567 8 JP4HEADER 4X2 1234567 8 JP6HEADER 4X2 1234567 8 JP3HEADER 4X2 1234567 8 JP1 HEADER 4X2 1234567 8 JP2 HEADER 4X2 1234567 8 JP5 HEADER 4X2 1234J1CON4 1234J2CON4 1234J3CON4 vcc VC C VC C VC C VC C VC C 5V VC C02VC C11 GND04NTRST 3GND16TDI 5GND28TM S 7GND310TC K 9GND412RTCK 11GND514TDO 13GND616NR ST 15GND718NC 017GND820 NC 1 19 JP8JTAG 3V3 JTR ST JTDO JTR ST JTDO R1310k C5 100nf 3V3 S1 SW-PB SPI1_NS S SPI1_SC K SPI1_MISO SPI1_MOSI SPI1_NS S R3 100R1100R2 100 SPI1_MOSI SPI1_SC K SPI1_MISO C2100nf 3V3 3V3 C1100nf OS C_IN OS C_OUT US ART1_TX US ART1_RX 12345 6 JP12 HEADER 3X2 3V3R1210K R1110K BOOT1 BOOT0 BOOT0 BOOT11234 8765S2SW DIP-4 3V3 R710K R810K R910K R1010K PA0PA1PA2PA3 PA0PA1PA2PA33V3 C610p C710p US B_DM US B_DP US B_DISCONNEC T PC 0PC 1PC 2PC 3 PC 7PC 6PC 4PC 5 PC 8PC 9PC 10PC 11 PC 12PB 5PB 6PB 7PB 8 PB 11PB 10PB 9PB 12 PB 13PB 14PB 15 PB 5PB 6PB 7PB 8PB 9PB 10PB 11PB 12PB 13PB 14PB 15PC 0PC 1PC 2PC 3PC 4PC 5PC 6PC 7PC 8PC 9PC 10PC 11PC 12 VUSB 1GND 5 ID 4D+3D-2C24 MINI_USB 5V R422 R522R61.5k US B_DM US B_DP US B_DISCONNEC T Y2 32.768KHZ R15 1k 5V 2 3 1 R16 POWER 5V 1 2JP10 HEADER 212JP9 HEADER 2 VC C 3V3 C13100nf C1410uf C15 100nf C1647u f C17 100n f C184.7u f C19100n f C20100n f C21100n f C22100n f C23 100n f R141K +C251000u f Vin 3 G N D 1 Vout 2U2 VOLTREG Vin 3 G N D 1 Vout 2 U3 VOLTREG D2 LED D1LED

舵机及转向控制原理

舵机及转向控制原理 1、概述 2、舵机的组成 3、舵机工作原理 4、舵机选购 5、舵机使用中应注意的事项 6、辉盛S90舵机简介 7、如何利用程序实现转向 8、51单片机舵机测试程序 1、概述 舵机也叫伺服电机,最早用丁船舶上实现其转向功能,由丁可以通过程序连续控制其转角,因而被广泛应用智能小车以实现转向以及机器人各类关节运动中,如图1、图2所示。

舵机是小车转向的控制机构,具有体积小、力矩大、外部机械设计简单、稳定性高等特点,无论是在硬件设计还是软件设计,舵机设计是小车控制部分重要的组成部分,图3为舵机的外形图。 2、舵机的组成 一般来讲,舵机主要由以下几个部分组成,舵盘、减速齿轮组、位置反馈电位计、直流电机、控制电路等,如图4、图5所示。

变速齿轮组 诃调电位器小型宜流电机 fff 图4舵机的组成示意图 图5舵机组成 舵机的输入线共有三条,如图6所示,红色中间,是电源线,一边黑色的是地线,这辆根线给舵机提供最基本的能源保证,主要是电机的转动消耗。电源有 两种规格,一是4.8V, 一是6.0V,分别对应不同的转矩标准,即输出力矩不同, 6.0V 对应的要大一些,具体看应用条件;另外一根线是控制信号线,Futaba的一般为白色,JR的一般为桔黄色。另外要注意一点,SANW曲某些型号的舵机引线电源线在边上而不是中间,需要辨认。但记住红色为电源,黑色为地线,一般不会搞错。

输出转轴 电源线知 地线GND 控制线 图6舵机的输出线 3、舵机工作原理 控制电路板接受来自信号线的控制信号, 控制电机转动,电机带动一系列齿轮组,减速后传动至输出舵盘。舵机的输出轴和位置反馈电位计是相连的,舵盘 转动的同时,带动位置反馈电位计,电位计将输出一个电压信号到控制电路板,进 行反馈,然后控制电路板根据所在位置决定电机转动的方向和速度,从而达到 目标停止。其工作流程为:控制信号T控制电路板T电机转动T齿轮组减速T舵盘转动T位置反馈电位计T控制电路板反馈。流,才可发挥舵机应有的性能。 舵机的控制信号周期为20MS的脉宽调制(PWM信号,其中脉冲宽度从0.5-2.5MS,相对应的舵盘位置为0—180度,呈线性变化。也就是说,给他提供一定的脉宽,它的输出轴就会保持一定对应角度上,无论外界转矩怎么改变,直到给它提供一个另外宽度的脉冲信号,它才会改变输出角度到新的对应位置上如图7所求。舵机内部有一个基准电路,产生周期为20MS宽度1.5MS的基准信号,有一个比出较器,将外加信号与基准信号相比较,判断出方向和大小,从而生产电机的转动信号。由此可见,舵机是一种位置伺服驱动器,转动范围不能超过180度,适用丁那些需要不断变化并可以保持的驱动器中,比如说机器人的关 节、飞机的舵面等。

舵机控制

利用单片机PWM信号进行舵机控制(图) 基于单片机的舵机控制方法具有简单、精度高、成本低、体积小的特点,并可根据不同的舵机数量加以灵 活应用。 在机器人机电控制系统中,舵机控制效果是性能的重要影响因素。舵机可以在微机电系统和航模中作为基本的输出执行机构,其简单的控制和输出使得单片机系统非常容易与之接口。 舵机是一种位置伺服的驱动器,适用于那些需要角度不断变化并可以保持的控制系统。其工作原理是:控制信号由接收机的通道进入信号调制芯片,获得直流偏置电压。它内部有一个基准电路,产生周期为20ms,宽度为1.5ms的基准信号,将获得的直流偏置电压与电位器的电压比较,获得电压差输出。最后,电压差的正负输出到电机驱动芯片决定电机的正反转。当电机转速一定时,通过级联减速齿轮带动电位器旋转,使得电压差为0,电机停止转动。 图1舵机的控制要求 舵机的控制信号是PWM信号,利用占空比的变化改变舵机的位置。一般舵机的控制要求如图1所示。 单片机实现舵机转角控制 可以使用FPGA、模拟电路、单片机来产生舵机的控制信号,但FPGA成本高且电路复杂。对于脉宽调制信号的脉宽变换,常用的一种方法是采用调制信号获取有源滤波后的直流电压,但是需要50Hz(周期是20ms)的信号,这对运放器件的选择有较高要求,从电路体积和功耗考虑也不易采用。5mV以上的控制电压的变化就会引起舵机的抖动,对于机载的测控系统而言,电源和其他器件的信号噪声都远大于5mV,所以滤波电路的精度难以达到舵机的控制精度要求。 也可以用单片机作为舵机的控制单元,使PWM信号的脉冲宽度实现微秒级的变化,从而提高舵机的转角精度。单片机完成控制算法,再将计算结果转化为PWM信号输出到舵机,由于单片机系统是一个数字系统,其控制信号的变化完全依靠硬件计数,所以受外界干扰较小,整个系统工作可靠。 单片机系统实现对舵机输出转角的控制,必须首先完成两个任务:首先是产生基本的PWM周期信号,本设

舵机工作原理要点

舵机工作原理 标准的舵机有3条导线,分别是:电源线、地线、控制线,如图2所示。 以日本FUTABA-S3003型舵机为例,图1是FUFABA-S3003型舵机的内部电路。

3003舵机的工作原理是:PWM信号由接收通道进入信号解调电路BA6688的12脚进行解调,获得一个直流偏置电压。该直流偏置电压与电位器的电压比较,获得电压差由BA6688的3脚输出。该输出送入电机驱动集成电路BAL6686,以驱动电机正反转。当电机转动时,通过级联减速齿轮带动电位器Rw1旋转,直到电压差为O,电机停止转动。 舵机的控制信号是PWM信号,利用占空比的变化,改变舵机的位置。

有个很有趣的技术话题可以稍微提一下,就是BA6688是有EMF控制的,主要用途是控制在高速时候电机最大转速。 原理是这样的: 收到1个脉冲以后,BA6688内部也产生1个以5K电位器实际电压为基准的脉冲,2个脉冲比较以后展宽,输出给驱动使用。当输出足够时候,马达就开始加速,马达就能产生EMF,这个和转速成正比的。 因为取的是中心电压,所以正常不能检测到的,但是运行以后就电平发生倾斜,就能检测出来。超过EMF判断电压时候就减小展宽,甚至关闭,让马达减速或者停车。这样的好处是可以避免过冲现象(就是到了定位点还继续走,然后回头,再靠近) 一些国产便宜舵机用的便宜的芯片,就没有EMF控制,马达、齿轮的机械惯性就容易发生过冲现象,产生抖舵电源线和地线用于提供舵机内部的直流电机和控制线路所需的能源.电压通常介于4~6V,一般取5V。注意,给舵机供电电源应能

提供足够的功率。控制线的输入是一个宽度可调的周期性方波脉冲信号,方波脉冲信号的周期为20 ms(即频率为50 Hz)。当方波的脉冲宽度改变时,舵机转轴的角度发生改变,角度变化与脉冲宽度的变化成正比。某型舵机的输出轴转角与输入信号的脉冲宽度之间的关系可用图3来表示。 可变脉宽输出试验(舵机控制) 原创:xidongs 整理:armok / 2004-12-05 / https://www.sodocs.net/doc/844909862.html,

相关主题