搜档网
当前位置:搜档网 › 1993-2017年东南大学《920专业基础综合》(信号与系统、数字电路)真题及答案

1993-2017年东南大学《920专业基础综合》(信号与系统、数字电路)真题及答案

1993-2017年东南大学《920专业基础综合》(信号与系统、数字电路)真题及答案
1993-2017年东南大学《920专业基础综合》(信号与系统、数字电路)真题及答案

科目代码:920科目名称:专业基础综合(信号与系统、数字电路) 第1页 共5页 东南大学

2016年硕士研究生入学考试初试试题(

A 卷) 科目代码: 920 科目名称: 专业基础综合(信号与系统、数字电路) 满分: 150 分 注意: ①认真阅读答题纸上的注意事项;②所有答案必须写在答题纸上,写在本试题纸或草稿纸上均无

效;③本试题纸须随答题纸一起装入试题袋中交回!

一. 选择题(每小题3分,共45分)

1. 函数表达式F (A ,B ,C )=AB+C ,如果实现该逻辑而不产生逻辑冒险,应该添加的项为()

。 (A) AC (B) BC (C) ABC (D) BC

2. 下列叙述正确的是()。

(A) 与、或、非构成的逻辑运算,可以完全由或、非运算代替;

(B) 与、或、非构成的逻辑运算,可以完全由与、非运算代替;

(C) 与、或、非构成的逻辑运算,可以完全由与、或运算代替;

(D) 与、或、非构成的逻辑运算,不能用其中的两种运算代替;

3. 触发器的特点是()。

(A) 有两个稳定的状态,能够存储一位二进制信息,能够根据输入置位、复位;

(B) 由与非门构成,靠时钟边沿触发;

(C) 施加激励信号后,状态完成转移,激励信号撤销,状态保持不变;

(D) 在时钟有效电平时,能够完成状态转移,其他时刻,状态保持不变;

4. 最小项具有下列性质()。

(A) 所有的最小项相乘,结果为1;

(B) 所有的最小项相加,结果为1;

(C) 任意两个最小项相乘,结果为1;

(D) 任意两个最小项相加,可以消去一个变量;

5. 复杂的可编程逻辑器件CPLD ,其内部一般包含有()。

(A) 算术逻辑单元ALU ;

(B) 计数器;

(C) 移位寄存器;

(D) 可编程内部连线和逻辑块;

6. 假设某线性系统,在任何初始条件下零状态响应都具有()

212()t t zs y t C e C e ??=?这样的形式。则下面说法最准确的是( )

(A) 该系统一定是稳定的二阶系统;

(B) 该系统一定是二阶系统,但是不能保证稳定;

(C) 该系统一定是稳定的、至少是二阶的系统;

东南大学 数字电路实验 第4章_时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

东南大学田玉平自动控制原理参考答案4

4.1 对于如下系统,求其传递函数。并判别:系统是否由其传递函数完全表征?系统是否渐进稳定?是否输入-输出稳定? (1) []0100001061161310x x u y x ???? ????=+????????---????= 解:由32 61160sI A s s s -=+++=得极点为:1231,2,3s s s =-=-=- 所以系统渐进稳定。 所以系统为输入-输出稳定,但不能由G (s )完全表征。 (2) []010000 1025005505 10x x u y x ????????=+????????-???? =- 解:由32 52500sI A s s -=+-=得1235,55,55s s i s i ==-+=-- 所以不是渐进稳定。 G(s)=C(sI-A)1-B=C 1 50250 10 01-???? ? ?????+---s s s B=)5)(55)(55() 5(50--+++-s j s j s s .= ) 55)(55(50 j s j s -+++ 所以系统是输入-输出稳定,但不能由G (s )完全表征。 (3) []110001010002110x x u y x -????????=-+????????????=- 解:由32 20sI A s s s -=++=得1230,1,1s s s ==-=- 所以系统不是渐进稳定。 所以系统是输入-输出稳定,但不能由G (s )完全表征。 (4) (a )解:2 5 ()27 s G s s s -= +- ,1,21s =-±,有极点在右半平面 所以既不是渐进稳定,又不是输入-输出稳定。系统可由其传递函数完全表征。.

东南大学数字电路实验报告

东南大学电工电子实验中心实验报告 数字逻辑设计实践 实验一数字逻辑电路实验基础 学院电气工程学院 指导老师团雷鸣 地点 104 姓名 学号 __________得分实验日期

1.实验目的 (1)认识数字集成电路,能识别各种类型的数字器件和封装; (2)学习查找器件资料,通过器件手册了解器件; (3)了解脉冲信号的模拟特性,了解示波器的各种参数及其对测量的影响,了解示波器探头的原理和参数,掌握脉冲信号的各项参数; (4)了解逻辑分析的基本原理,掌握虚拟逻辑分析的使用方法; (5)掌握实验箱的结构、功能,面包板的基本结构、掌握面包板连接电路的基本方法和要求; (6)掌握基本的数字电路的故障检查和排除方法。 2.必做实验 (1)复习仪器的使用,TTL信号参数及其测量方法 用示波器测量并记录频率为200KHz的TTL信号的上升沿时间、下降沿时间、脉冲宽度和高、低电平值。 接线图 理论仿真TTL图像 TTL实验数据表格

(2)节实验:电路安装调试与故障排除 要求:测出电路对应的真值表,并进行模拟故障排查,记录故障设置情况和排查过程。 接线图 真值表 F=1,G=1 序号S1B1S2B2L 100000110100001020110 103 110040 150110 006101107111001800 001190 思考题 ①能否用表格表示U8脚输出端可能出现1的全部情况 2

②存在一个使报警器信号灯持续接通的故障,它与输入的状态无关。那么,什么是最有可能的故障? 答:两个集成电路74HC00与74HC20未加工作电压VCC并接地,造成集成电路无法工作,L一直为低电平,Led发光。 ③下列故障的现象是什么样的? a.U8脚输出端的连线开路。1答:无论S2与B2输入什么信号,都视为U4 与U5输入0信号(副驾驶有人22且安全带未扣上),会造成报警。 b.U3脚的输出停留在逻辑0。1答:无论B1输入什么信号,都视为U13输 入0信号。(驾驶座安全带扣上)1 ④当汽车开始发动,乘客已坐好,而且他的座位安全带已扣上,报警灯亮,这结果仅与司机有关,列出可能的故障,并写出寻找故障的测试顺序。 可能情况:司机未系安全带

2001年东南大学自动控制原理真题

东南大学 二00一年攻读硕士学位研究生入学考试试卷 一、图为简单电压调节器,在发电机的输出端 用一个电位器给出反馈电压K 0V ,K 为常数(K1),该电位器的电阻足够高,以致可假设它可以吸收的电流可以忽略。放大器的增益为20V/V ,发电机增益g K 为50V/A (励磁电流)参考电压r V =50V 。 (1) 画出当发电机供给一个负载电流时的系统方框图,并写出每个方块的传递 函数。 (2) 系统工作于闭环状态(即S 闭合),已知发电机的稳态空载端电压为250V , 求此时K 的值。通过30A 的稳态负载电流时,引起的端电压的变化是多少?恢复到250V 的发电机电压,需要多大的参考电压? (3) 系统运转在开环状态下(即S 断开),为获得250V 的稳态空载电压,需要 多大的参考电压?当负载电流为30A 时,端电压如何变化? 二、设某系统的开环传递函数为s Ke Ts -=)(s G 0,试求使闭环系统稳定的K 的取 值范围。 三、设系统的状态方程为u x ?? ? ???+???? ??--=103210 x · 试求当 (1)u (t )=δ(t ) (2)u (t )=1(t )时系统的状态响应x (t )。(假设初始状态为零) 四、如图所示的一阶采样系统中,ZOH 代表零阶保持器,求闭环系统的脉冲传递

函数。为使系统保持稳定,积分器的增益A 的范围如何?(T 为采样周期) 五、某最小相位系统的折线对数幅频特性如图所示,试写出它的传递函数,并大致画出其对数相频特性曲线。 六、已知系统的状态方程为B u A x x · +=。设P 为非奇异常数阵,已知 ?? ? ? ??==-2221 1211 1 A A A A AP P A — ,?? ? ???==-0B B P B 11— ,其中11A 和1B 的行数均为1n ,而且 rank (1B )=1n 。试证明(A ,B )能控的充要条件是(22A ,21A )能控。 七、已知线性定常系统的状态转移矩阵为?? ? ? ??=cost sin2t sint cos2t (t)ψ,求系统矩阵A 。 八、已知非线性系统如图所示,其线性部分的频率特性G (jw )及非线性部分的负倒特性-1/N(E)如图所示 (1)试确定当初始误差E 在①A 点②B 点③C 点④D 点⑤E 点时C (t )的运动情况 (2)将上述分析结果在以e 为横坐标,· e 为纵坐标的相平面上定性的表示出来

东南大学信号与系统试题含答案

东 南 大 学 考 试 卷(A 、B 卷) (答案附后) 课程名称 信号与线性系统 考试学期 03-04-3 得分 适用专业 四系,十一系 考试形式 闭卷 考试时间长度 120分钟 一、简单计算题(每题8分): 1、 已知某连续信号()f t 的傅里叶变换为 21 ()23F j j ωωω= -+,按照取 样间隔1T =对其进行取样得到离散时间序列()f k ,序列()f k 的Z 变换。 2、 求序列{} 10()1,2,1 k f k ==和2()1cos ()2f k k k πε????=+ ???????的卷积和。 3、 已知某双边序列的Z 变换为 21 ()1092F z z z = ++,求该序列的时域表 达式()f k 。

4、 已知某连续系统的特征多项式为: 269111063)(234567+++++++=s s s s s s s s D 试判断该系统的稳定情况,并指出系统含有负实部、零实部和正实部的根各有几个? 5、 已知某连续时间系统的系统函数为: 323 2642 ()21s s s H s s s s +++=+++。试给出该系统的状态方程。 6、 求出下面框图所示离散时间系统的系统函数。 ) (k

二、(12分)已知系统框图如图(a ),输入信号e(t)的时域波形如图(b ),子系统h(t)的冲激响应波形如图(c)所示,信号()f t 的频谱为 ()jn n F j e πω ω+∞ =-∞ = ∑ 。 图(a) y(t) ) (t f e(t)图(b) h(t)图(c) 试:1) 分别画出)(t f 的频谱图和时域波形; 2) 求输出响应y(t)并画出时域波形。 3) 子系统h(t)是否是物理可实现的?为什么?请叙述理由;

东南大学通信复试数字信号处理(吴镇杨)课后答案

习题一 (离散信号与系统) 1.1周期序列,最小周期长度为5。 1.2 (1) 周期序列,最小周期长度为14。(2) 周期序列,最小周期长度为56。 1.5 ()()()()()()()1 1s a s s s a n s s a s n X j x t p t X j ΩP j Ω2n τn τj sin j Ωjn e X 2n π 2n n τj Sa X j jn e 2T 2π ττ ∞ =-∞∞ =-∞Ω== *????ΩΩ??-=-Ω ???ΩΩ??-=Ω-Ω ??? ∑∑F 1.6 (1) )(ω j e kX (2) )(0 ω ωj n j e X e (3) )(2 1 )(2122ω ωj j e X e X -+ (4) )(2ωj e X 1.7 (1) 0 n z -(2) 5.0||,5.011 1 >--z z (3) 5.0||,5.011 1 <--z z (4) 0||,5.01)5.0(11 10 1>----z z z 1.8 (1) 0,)11( )(2 1 1 >--=---z z z z z X N (2) a z az az z X >-=--, )1()(211 (3) a z az z a az z X >-+=---, )1()(3 11 21 1.9 1.10 (1) )1(2)(1----+n u n u n (2) )1(24)()5.0(6--?--n u n u n n (3) )()sin sin cos 1(cos 00 0n u n n ωωωω++ (4) )()()(1n u a a a n a n ---+-δ 1.11 (1) )(1 z c X - (2) )(2 z X (3) )()1(2 1 z X z -+ (4) -+<

自动控制原理实验

自动控制原理实验 实验报告 实验三闭环电压控制系统研究 学号姓名 时间2014年10月21日 评定成绩审阅教师

实验三闭环电压控制系统研究 一、实验目的: (1)通过实例展示,认识自动控制系统的组成、功能及自动控制原理课程所要解决的问题。 (2)会正确实现闭环负反馈。 (3)通过开、闭环实验数据说明闭环控制效果。 二、预习与回答: (1)在实际控制系统调试时,如何正确实现负反馈闭环? 答:负反馈闭环,不是单纯的加减问题,它是通过增量法实现的,具体如下: 1.系统开环; 2.输入一个增或减的变化量; 3.相应的,反馈变化量会有增减; 4.若增大,也增大,则需用减法器; 5.若增大,减小,则需用加法器,即。 (2)你认为表格中加1KΩ载后,开环的电压值与闭环的电压值,哪个更接近2V? 答:闭环更接近。因为在开环系统下出现扰动时,系统前部分不会产生变化。故而系统不具有调节能力,对扰动的反应很大,也就会与2V相去甚远。 但在闭环系统下出现扰动时,由于有反馈的存在,扰动产生的影响会被反馈到输入端,系统就从输入部分产生了调整,经过调整后的电压值会与2V相差更小些。 因此,闭环的电压值更接近2V。 (3)学自动控制原理课程,在控制系统设计中主要设计哪一部份? 答:应当是系统的整体框架及误差调节部分。对于一个系统,功能部分是“被控对象”部分,这部分可由对应专业设计,反馈部分大多是传感器,因此可由传感器的专业设计,而自控原理关注的是系统整体的稳定性,因此,控制系统设计中心就要集中在整个系统的协调和误差调节环节。 二、实验原理: (1)利用各种实际物理装置(如电子装置、机械装置、化工装置等)在数学上的“相似性”,将各种实际物理装置从感兴趣的角度经过简化、并抽象成相同的数学形式。我们在设计控制系统时,不必研究每一种实际装置,而用几种“等价”的数学形式来表达、研究和设计。又由于人本身的自然属性,人对数学而言,不能直接感受它的自然物理属性,这给我们分析和设计带来了困难。所以,我们又用替代、模拟、仿真的形式把数学形式再变成“模拟实物”来研究。这样,就可以“秀才不出门,遍知天下事”。实际上,在后面的课程里,不同专业的学生将面对不同的实际物理对象,而“模拟实物”的实验方式可以做到举一反三,我们就是用下列“模拟实物”——电路系统,替代各种实际物理对象。

东南大学仪科数字信号处理作业

1.已知f(t)的傅里叶变换是F(w),求下列信号的傅里叶变换表达式(a,b,w0为常数):1) 2) (2+2t)f(t-1) 3) 4) f(t)* 5) 6) f(t)sin[w0(t+a)] 解: 1) 2) 3) 4) 5) 6)f(t)sin[w0(t+a)]=f(t)sin(w0t)cos(w0a)+f(t)cos(w0t)sin(w0a) 2.已知如图2-15所示的信号f(t),求:1)指数形式与三角形式的傅里叶变换级数;2)

傅里叶变换F(w),并画出频谱图。

解: 1)三角形式: T1=4,w1= a0= an= 由f(t)为偶函数得,bn=0 所以,f(t)=1+ 指数形式: F(nw1)= f(t)= 2)F(n)= F(w)= 3.已知如图2-16所示的信号f(t),求指数形式与三角形式的傅里叶变换级数,并画出频 谱图。 解: 指数形式: T1=2T,w1

F(nw1)= f(t)= 三角形式: a0= an= bn= f(t)=+ 4.将下列信号早区间(-,)中展开为指数形式的傅里叶级数:1)f1(t)=2t 2)f2(t)=0.5|t| 解: T=2,w1= 1)因为f1(t)为奇函数,a0=0,an=0 bn= f1 (t)= 2)因为f2(t)为奇函数,bn=0 a0= an= f(t)=+ 5.将下列信号在区间(0,1)中展开为指数形式的傅里叶级数:1)f1(t)=t4 2)f2(t)=e2t 解: T=1,w1 1)F(n)= f(t)=

2)F(n)=

f(t)= 6. 已知如图2-17所示的信号f(t),利用微分性质求该信号的傅立叶变换F(w)。 012 图2-17 答案: 7. 已知,求F(w)。 答案: (?) 8. 求下列函数的傅立叶变换: 1) 2) 3) 答案: 1) 2) 3)

自动控制原理作业参考答案(第五章

5.1 (1))(20)(20)(20)(12)(t r t r t c t c t c +=++ (2)21)10)(2()1(20)(s s s s s C ?+++= = s s s s 4 .0110275.02125.02+++-++- 所以 c(t)=4.0275.0125.0102++----t e e t t c(0)=0;c(∞)=∞; (3)单位斜坡响应,则r(t)=t 所以t t c t c t c 2020)(20)(12)(+=++ ,解微分方程加初始条件 解的: 4.04.02)(102++-+=--t e e t c t t c(0)=2, c(∞)=∞; 5.2 (1)t t e e t x 35.06.06.3)(---= (2)t e t x 2)(-= (3) t w n n n t w n n n n n n n e w b w a e w b w a t x )1(22)1(22221 2)1(1 2)1()(----+----+-+ -+----= ξξωξξωξξξωξξξω(4)t a A t a Aa e a a b t x at ωωωωωωωcos sin )()(2 22222+-++++=- 5.3 (1)y(kT)=)4(16 19 )3(45)2(T t T t T t -+-+-δδδ+…… (2) 由y(-2T)=y(-T)=0;可求得y(0)=0,y(T)=1; 则差分方程可改写为y[kT]-y[(k-1)T]+0.5y[(k-2) T]=0;,k=2,3,4…. 则有0))0()()((5.0))()(()(121=++++----y T y z z Y z T y z Y z z Y 2 11 5.015.01)(---+--=z z z z Y =.....125.025.025.05.015431----++++z z z 则y *(t)=0+)5(25.0)4(25.0)3(5.0)2()(T t T t T t T t T t -+-+-+-+-δδδδδ+… (3)y(kT)=k k k k k T T k T T )1(4 )1(4)1(4)1(4++---- 5.4

东南大学数字电路期末试卷

数字电路期末试卷一 一、设计一个模18计数器(共40分) 要求:1.设计电路,写出设计过程并将逻辑图画在答题纸;(15分) 2.用单脉冲或秒脉冲验证实验结果;(由老师检查)(15分) 3.用示波器或者逻辑分析仪观察并记录时钟与个位的低两位信号(Q1、Q0)波形。(10分) 二、设计一个具有自启动功能的序列信号发生器1011 (共60分) 要求:1.设计出电路图,写出设计过程并将逻辑图画在答题纸上;(20分) 2.根据设计搭试电路;(15分) 3.用指示灯验证电路的正确性,并检查该电路是否具有自启动功能;(15分) 4.用示波器或者逻辑分析仪观察波形,并将测试结果画在答题纸上。(由老师检查)(10分)

一、设计一个模18计数器(共40分) 要求:1.设计电路,写出设计过程并将逻辑图画在答题纸;(15分) 评分标准:原理图完全正确15分;若其中低位或者高位单独正确给5分; 如果两个单独均正确但级联错误给10分;接地不画扣2分。 2.用单脉冲或秒脉冲验证实验结果.(由老师检查)(15分) 3.记录结果(10分) 评分标准::相位对齐6分(每个输出端信号3分),画满一个周期3分,方波边沿画出1分。 二、1. 评分标准:原理图正确20分,输入没有使能端扣3分,接地不画扣2分。2.根据设计搭试电路;(15分) 3.用指示灯验证电路的正确性,并检查该电路是否具有自启动功能;(15分) 评分标准:实验操作,仪器使用5分,指示灯验证和自启动功能检查15分 4.用示波器或者逻辑分析仪观察波形,并将测试结果画在答题纸上.(由老师检查)(10分) 评分标准:波形观察记录,相位对齐6分,至少画满一个周期(3分),且画出边沿(1分)10分

东南大学信号与系统本科试卷答案

1、 已知某连续信号()f t 的傅里叶变换为 21 ()23F j j ωωω= -+,按照取样间隔1T =对其进行取样得到离散时间序列()f k ,序列()f k 的Z 变换。 解法一:f(t)的拉普拉斯变换为 21 11)2)(1(1321)(2+- +=++=++= s s s s s s s F , 2111)(Re )(--===---=-=??? ???-=∑∑e z z e z z e z z K e z z s F s z F n i T s i s s n i sT i i 解法二:f(t)=L -1{F(jw)}=(e -t - e -2t )ε(t) f(k)= (e -k - e -2k )ε(k)=)())()((21k e e k k ε--- F(z)=Z[f(k)]= 21 -----e z z e z z 2、 求序列{} 10()1,2,1 k f k ==和2()1cos ()2f k k k πε?? ??=+ ???????的卷积和。 解:f 1(k)={1,2,1}=δ(k)+2δ(k -1)+ δ(k -2) f 1(k)* f 2(k)= f 2(k)+ 2f 2(k -1)+ f 2(k -2) 3、已知某双边序列的Z 变换为 21 ()1092F z z z = ++,求该序列的时域表达式()f k 。 解: 5.01 4.01)(+- += z z z F ,两个单阶极点为-0.4、-0.5 当收敛域为|z|>0.5时,f(k)=(( -0.4)k -1-( -0.5)k -1)ε(k -1) 当收敛域为0.4<|z|<0.5时,f(k)= ( -0.4)k -1ε(k -1)+( -0.5)k -1ε( -k) 当收敛域为|z|<0.4时,f(k)= - ( -0.4)k -1ε(-k)+( -0.5)k -1ε( -k) 点评:此题应对收敛域分别讨论,很多学生只写出第一步答案,即只考虑单边序列。 4、已知某连续系统的特征多项式为: 269111063)(234567+++++++=s s s s s s s s D 试判断该系统的稳定情况,并指出系统含有负实部、零实部和正实部的根各有几个? 解 构作罗斯-霍维茨阵列 611617s 29 1036s 3168 385s 2314s 342 (00) 32s s s ++此时出现全零行,有辅助多项式 3 4646,4,6s s +求导可得以代替全零行系数。 21 32 2232s s s 由罗斯-霍维茨数列可见,元素符号并不改变,说明s 右半平面无极点。再由

东南大学-数字电路实验-第4章-时序逻辑电路

东南大学-数字电路实验-第4章-时序逻辑 电路 --------------------------------------------------------------------------作者: _____________

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并 记录时钟脉冲CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波 形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制

数字信号处理期末试卷(含答案)

数字信号处理期末试卷 一、填空题:(每空1分,共18分) 1、数字频率ω是模拟频率Ω对采样频率s f 的归一化,其值是 连续 (连续还是离散?)。 2、双边序列z 变换的收敛域形状为 圆环或空集 。 3、某序列的DFT 表达式为∑-==1 0)()(N n kn M W n x k X ,由此可以看出,该序列 时域的长度为 N ,变换后数字频域上相邻两个频率样点之间的间隔是 M π 2 。 4、线性时不变系统离散时间因果系统的系统函数为 2 52)1(8)(22++--=z z z z z H ,则系统的极点为 2,21 21-=-=z z ;系 统的稳定性为 不稳定 。系统单位冲激响应)(n h 的初值 4)0(=h ;终值)(∞h 不存在 。 5、如果序列)(n x 是一长度为64点的有限长序列)630(≤≤n ,序列) (n h 是一长度为128点的有限长序列)1270(≤≤n ,记)()()(n h n x n y *=(线性卷积),则)(n y 为 64+128-1=191点 点的序列,如果采用基FFT 2算法以快速卷积的方式实现线性卷积,则FFT 的点数至少为 256 点。 6、用冲激响应不变法将一模拟滤波器映射为数字滤波器时,模拟频率 Ω与数字频率ω之间的映射变换关系为T ω= Ω。用双线性变换法将一 模拟滤波器映射为数字滤波器时,模拟频率Ω与数字频率ω之间的映射变换关系为)2tan(2ωT = Ω或)2 arctan(2T Ω=ω。 7、当线性相位FIR 数字滤波器满足偶对称条件时,其单位冲激响应)(n h 满足的条件为)1()(n N h n h --= ,此时对应系统的频率响应 )()()(ω?ωωj j e H e H =,则其对应的相位函数为ωω?2 1 )(-- =N 。 8、请写出三种常用低通原型模拟滤波器 巴特沃什滤波器 、 切比雪夫滤波器 、 椭圆滤波器 。 二、判断题(每题2分,共10分) 1、模拟信号也可以与数字信号一样在计算机上进行数字信号处理,只要 加 一 道 采 样 的 工 序 就 可 以 了 。 (╳) 2、已知某离散时间系统为)35()]([)(+==n x n x T n y ,则该系统为线性时 不变系统。(╳) 3、一个信号序列,如果能做序列的傅里叶变换(DTFT ),也就能对其做DFT 变换。(╳) 4、用双线性变换法进行设计IIR 数字滤波器时,预畸并不能消除变换中产 生 的 所 有 频 率 点 的 非 线 性 畸 变 。 (√) 5、阻带最小衰耗取决于窗谱主瓣幅度峰值与第一旁瓣幅度峰值之比。 (╳) 三、(15分)、已知某离散时间系统的差分方程为

东南大学dsp实验报告

DSP实验报告 实验四:IIR数字滤波器的设计实验五:FIR数字滤波器的设计

实验四、IIR数字滤波器的设计 【1】f c = 0.3kHz,delta = 0.8dB,fr = 0.2kHz,At = 20dB,T = 1ms:设计一切比雪夫高通滤波器,观察其通带损耗和阻带衰减是否满足要求。 实验结果: 实验代码: >> Wc = 2*pi*300/1000; Wr = 2*pi*200/1000; Rp = 0.8; Rs = 20; [N,Wc] = cheb1ord(Wc,Wr,Rp,Rs,'s'); [B,A] = cheby1(N,Rp,Wc,'high','s'); omega = [0:pi/1000:pi];

h = freqs(B,A,omega); gain = 20*log10(abs(h)); plot(omega/(2*pi/1000),gain); 结果分析: 由实验所得关于设计的滤波器的增益曲线来看,当f<200Hz时, 衰减大于20dB,当f>300Hz时,衰减趋近于零,满足设计参 数要求。 【5】利用双线性变换法设计满足下列指标的切比雪夫型数字带阻滤波器,并作图验证设计结果:当1kHz<=f<=2kHz时,At>=18dB;当 f<=500Hz以及f>=3kHz时,delta<=3dB;采样频率fs = 10kHz。 实验结果 程序代码: >> W1 =2*10000*tan(2*pi*500/(2*10000));

W2 =2*10000*tan(2*pi*1000/(2*10000)); W3 =2*10000*tan(2*pi*2000/(2*10000)); W4 =2*10000*tan(2*pi*3000/(2*10000)); Wp = [W2,W3]; Ws = [W1,W4]; [N,Wn] = cheb1ord(Wp,Ws,3,18,'s'); [B,A] = cheby1(N,3,Wn,'stop','s'); [num,den] = bilinear(B,A,10000); [h,w] = freqz(num,den); f = w/pi*5000; plot(f,20*log10(abs(h))); axis([0,3500,-100,10]); 结果分析: 根据设计要求,取要求中的参数值为极限值,所得滤波器增益曲线如 上图。由图可知当频率在1kHz到2kHz之间时,增益衰减大于18dB, 当频率小于500或大于3000Hz时,增益略小于1,通带波动delta小 于3dB,满足设计需求。 实验五、FIR数字滤波器的设计 【1】N = 45,计算并画出矩形窗、汉明窗、布莱克窗的归一化幅度谱,并比较各自的主要特点。

东南大学数字电路实验报告(四)

数字逻辑电路实验 简易数字钟 日期:2013年12月6日地点:104 姓名:学号: 审阅教师:得分: 一、实验目的 (1)掌握时序逻辑电路的一般设计过程; (2)掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求;(3)掌握QuartusⅡ5.0的使用 二、实验原理 特点外引线排列图 ?用于快速计数的内部超前进位 ?用于n 位级联的进位输出 ?同步可编程序 ?有置数控制线 ?二极管箝位输入 ?直接清零 ?同步计数 典型参数: f 工作频率=32MHz Pd=93mW 说明: 这种同步可预置十进计数器是由四个D 型触发器和若干个门电路构成,内部有超前进位,具有计数、置数、禁止、直接(异步)清零等功能。对所有触发器同时加上时钟,使得当计数使能输入和内部门发出指令时输出变化彼此协调一致而实现同步工作。这种工作方式消除了非同步(脉冲时钟)计数器中常有的输出计数尖峰。缓冲时钟输入将在时钟输入上升沿触发四个触发器。 这种计数器是可全编程的,即输出可预置到任何电平。当预置是同步时,在置数输入上将建立一低电平,禁止计数,并在下一个时钟之后不管使能输入是何电平,输出都与建立数据一致。清除是异步的(直接清零),不管时钟输入、置数输入、使能输入为何电平,清除输入端的低电平把所有四个触发器的输出直接置为低电平。 超前进位电路无须另加门,即可级联出n 位同步应用的计数器。它是借助于两个计数使能输入和一个动态进位输出来实现的。两个计数使能输入(ENP 和ENT)计数时必须是高电平,且输入ENT 必须正反馈,以便使能动态进位输出。因而被使能的动态进位输出将产生一个高电平输出脉冲,其宽度近似等于QA 输出高电平。此高电平溢出进位脉冲可用来使能其后的各个串联级。使能ENP 和ENT 输入的跳变不受时钟输入的影响。 电路有全独立的时钟电路。改变工作模式的控制输入(使能ENP、ENT 或清零)纵使发生变化,直到时钟发生为止,都没有什么影响。计数器的功能(不管使能、不使能、置数或计数)完全由稳态建立时间和保持时间所要求的条件来决定。 三、实验设计

数字信号处理-东南大学试卷

数字信号处理 一、选择题 1.下列可能是因果序列的z变换的是_______ (A) (B) (C) (D) [n]的z变换的收敛域为_______ 2.x[n]=sin(0.5πn)R 5 (A)|z|≥0 (B) |z| > 0 (C)|z|≥1 (D) |z| > 1 3.已知一个序列x[n]的z变换的数学表达式X(z),则关于它的极点和收敛 域正确的是_______

(A)收敛域内不能有极点 (B)设z 处于收敛域以外,如果将之代入X(z)的数学表达式,则一 )=∞ 定得到X(z (C)如果x[n]是非因果序列,则X(z)的数学表达式在一定有极点 (D)如果X(z)的数学表达式在z=∞没有极点,则x[n]一定是因果序列 4.系统是因果系统的条件是_______ (A) h[n]是因果序列 (B)零输入的响应是零输出 (C)当前输出与以后的输入无关 (D)如果n

1.已知连续时间周期信号的采样为周期序列 (A)写出x[n]的周期N=_______; (B)写出x[n]的DFS X[K]在区间0≤K≤N-1的值_______。 2.考虑如下序列 其傅利叶变换W(e jω)=_______。 3.已知因果稳定的LTI系统的系统函数,令H ap (z) = H i (z)H(z), H ap (z)是只有一个零点和极点的全通系统,则H i (z)=_______。 4.某序列x[n]的z变换为,收敛域包括单位圆。则其 x[0]的值为_______ 5.的极点是_______, 零点是_______。如果是右边序列, 则ROC是_______, x[n]= _______; 如果是左边序列,则ROC是_______, x[n]=_______; 如果是双边序列,则ROC是_______, x[n]=_______。 三、计算题 1.求以下z变换的反变换。 (A)(B)(C) (D)

东南大学信号与系统试题及答案

----------------------------精品word 文档 值得下载 值得拥有---------------------------------------------- 图 ( a ) y ( ) ( t f 图(c) 东 南 大 学 考 试 卷(A 、B 卷) 一、简单计算题(每题8分): 1、 已知某连续信号()f t 的傅里叶变换为 21 ()23F j j ωωω= -+,按照取 样间隔1T =对其进行取样得到离散时间序列()f k ,序列()f k 的Z 变换。 2求序列{} 10()1,2,1 k f k ==和2()1cos ()2f k k k πε?? ??=+ ???????的卷积和。 3已知某双边序列的Z 变换为 21 ()1092F z z z = ++,求该序列的时域表达式 ()f k 。 2、 已知某连续系统的特征多项式为: 试判断该系统的稳定情况,并指出系统含有负实部、零实部和正实部的根各有几个? 3、 已知某连续时间系统的系统函数为: 323 2642 ()21s s s H s s s s +++=+++。试给出该系统的状态方程。 4、 求出下面框图所示离散时间系统的系统函数。 二、(12分)已知系统框图如图(a ),输入信号e(t)的时域波形如图(b ),子系统h(t)的冲激响应波形如图(c)所示,信号()f t 的频谱为 ()jn n F j e πω ω+∞ =-∞ = ∑。 e(t) 图(b) 试:1) 分别画出)(t f 的频谱图和时域波形; 2) 求输出响应y(t)并画出时域波形。 3) 子系统h(t)是否是物理可实现的?为什么?请叙述理由;

通信工程考研专业课

中国大学通信工程专业考研排名 1、通信与信息系统 排名单位等级二级学科一级学科学科门 1 清华大学A++ 081001通信与信息系统0810信息与通信工程08 工学 2 西安电子科技大学A++ 081001通信与信息系统0810信息与通信工程08工学 3 北京邮电大学A+ 081001通信与信息系统0810信息与通信工程08工学 4 电子科技大学A+ 081001通信与信息系统0810信息与通信工程08工学 5 华中科技大学A+ 081001通信与信息系统0810信息与通信工程08 工学 6 北京航空航天大学 A 081001通信与信息系统0810信息与通信工程08 工学 7 武汉大学 A 081001通信与信息系统0810信息与通信工程 08 工学 8 北京理工大学 A 081001通信与信息系统0810信息与通信工程08 工学 9 北京大学 A 081001通信与信息系统0810信息与通信工程08 工学 10 东南大学 A 081001通信与信息系统0810信息与通信工程08 工学 11 华南理工大学 A 081001通信与信息系统0810信息与通信工程08 工学 12 浙江大学 A 081001通信与信息系统0810信息与通信工程08 工学

通信工程考研科目 信号与系统, 通信原理, 数字信号处理 信号与系统,数字信号处理在一起 通信综合卷(北航就是) 专业课因校而异,因方向而异。 清华大学:081000信息与通信工程 01通信与信息系统02信号与信息处理03信息网络与复杂系统 初试科目:①101政治②201英语③301数学一④437信号与系统 437 信号与系统参考书:《信号与系统》上册下册高教出版社2000年第二版(2000年至今已印10次,都可)郑君里等;《Signals and Systems》第二版中文版;电子工业出版社2002年8月第1次印刷英文影印:清华大学出版社1999年奥本海姆 复试时专业综合考试内容:现代通信原理或电子电路(含数字电路和模拟电路)(二选一) 国防科学技术大学:081000信息与通信工程 01通信与信息系统02信号与信息处理 初试科目:①政治(101)②英语(201)③数学(一)(301) ④“信号与系统”与“电子线路(线性部分)”(各占50%)(422) 422参考书:信号与系统与电子线路(线性部分)《信号与系统分析》(99年,第一版)吴京等国防科技大学出版社;《电子线路(线性部分)》97年版第四版谢嘉逵高等教育出版社 实时系统与专用集成电路技术与地理信息系统与数据库技术方向的考生可以选考计算机科学与技术的考试科目 复试科目:A. 数字信号处理(523)B.随机信号分析(524)C、微机原理(525) 数字电路与逻辑设计(526) [注A.B.C.D选一] 东南大学:081000信息与通信工程 01通信与信息系统02信号与信息处理20信息安全 初试科目:①101政②201英或202俄或203日或214德(单)③301数学一④420专业基础综合(含信号与系统、数字电路) 420参考书:《信号与线性系统》(第三版),管致中,高教出版社;《计算机结构与逻辑设计》黄正瑾,高教出版社; 复试科目: 01通信与信息系统《Communication Systems(Fourth Edition)》Simon HayKin,电子工业出版社,2003年3月;《通信原理》(第五版)樊昌信,国防工业出版社,2001年5月

东南大学信息工程数字电路与系统(实验7)

数字电路与系统(实验七) ?实验任务: 可调速的广告流水灯: 在实验5广告流水灯的基础上设计一个速度控制器,实现广告流水灯的循环速度的变化,具体功能如下: 1)该流水灯由8个LED组成,工作时始终1暗7亮,且这一个暗灯循环右移。 2)速度分4档,分别是1档,1/2档,1/4档,1/6档,设置一个按钮,每按一次按钮换一档。 ?实验部分: 一、实验原理图: 广告流水灯部分(参见实验五) (1)设: Y0,Y1,Y2,Y3,Y4,Y5,Y6,Y7 分别为8个LED灯的输出段端 故 对于计数脉冲个数与8位输出,恰为译码关系 速度控制器部分 (1)原理: 1)速度档选择: 通过拨动开关(拨动开关:一端接高电平,一端接低电平)以及 74161计数功能,实现每拨动一次开关(即:74161输入脉冲端由低电平向高电平)计一次数,Q1Q0=00?01?10?11?00分别代表四个速度档的选择 2)将Q1Q0送入74153地址控制端对脉冲进行选择: Q1Q0=00?01?10?11?00依次对应选择1档,1/2档,1/4档,1/6档,即:原始脉冲,二分频脉冲,四分频脉冲以及六分频脉冲分别接入74153的00-01-10-11四个输入端

3)四档脉冲: 原始脉冲直接通过脉冲输入获取; 二分频脉冲,四分频脉冲来自于74161分频器Q0,Q1输出端; 六分频脉冲来自于模6计数器的送数Load端(Q2Q0与非) (2状态转移真值表: (3)实验原理图: 二、实验目的: 在实验5广告流水灯的基础上设计一个速度控制器,实现广告流水灯的循环速度的变化,具体功能如下: 1)该流水灯由8个LED组成,工作时始终1暗7亮,且这一个暗灯循环右移。2)速度分4档,分别是1档,1/2档,1/4档,1/6档,设置一个按钮,每按一次按钮换一档。 三、实验器材: 1.实验材料:

相关主题