搜档网
当前位置:搜档网 › 数字电路与系统设计课后习题答案.doc

数字电路与系统设计课后习题答案.doc

数字电路与系统设计课后习题答案.doc
数字电路与系统设计课后习题答案.doc

.

1.1将下列各式写成按权展开式:

(352.6)10=3×102+5×101+2×100+6×10-1

(101.101)2=1×22+1×20+1×2-1+1×2-3

(54.6)8=5×81+54×80+6×8-1

(13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2

1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。

解:略

1.3二进制数00000000~11111111和0000000000~1111111111分别可以代表多少个数?解:分别代表28=256和210=1024个数。

1.4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16

解:(1111101000)2=(1000)10

(1750)8=(1000)10

(3E8)16=(1000)10

1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16

解:结果都为:(10001000)2

1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16

解:结果都为(77)8

1.7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10

解:结果都为(FF)16

1.8转换下列各数,要求转换后保持原精度:

解:(1.125)10=(1.0010000000)10——小数点后至少取10位

(0010 1011 0010)2421BCD=(11111100)2

(0110.1010)余3循环BCD码=(1.1110)2

1.9用下列代码表示(123)10,(1011.01)2:

解:(1)8421BCD码:

(123)10=(0001 0010 0011)8421BCD

(1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD

(2)余3 BCD码

(123)10=(0100 0101 0110)余3BCD

(1011.01)2=(11.25)10=(0100 0100.0101 1000)余3BCD

1.10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2

(1)按二进制运算规律求A+B,A-B,C×D,C÷D,

(2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。

解:(1)A+B=(10001001)2=(137)10

A-B=(101011)2=(43)10

C×D=(111111000)2=(504)10

C÷D=(1110)2=(14)10

(2)A+B=(90)10+(47)10=(137)10

A-B=(90)10-(47)10=(43)10

C×D=(84)10×(6)10=(504)10

C÷D=(84)10÷(6)10=(14)10

两种算法结果相同。

1.11试用8421BCD码完成下列十进制数的运算。

解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

(2)9+8=(1001)8421BCD+(1000)8421BCD=1 0001+0110=(1 0111)8421BCD=17

(3)58+27=(0101 1000)8421BCD+(0010 0111)8421BCD=0111 1111+ 0110=(1000 0101)8421BCD=85

(4)9-3=(1001)8421BCD-(0011)8421BCD=(0110)8421BCD=6

(5)87-25=(1000 0111)8421BCD-(0010 0101)8421BCD=(0110 0010)8421BCD=62

(6)843-348 =(1000 0100 0011)8421BCD-(0011 0100 1000)8421BCD

=0100 1111 1011- 0110 0110=(0100 1001 0101)8421BCD=495

1.12试导出1位余3BCD码加法运算的规则。

解:1位余3BCD码加法运算的规则

加法结果为合法余3BCD码或非法余3BCD码时,应对结果减3修正[即减(0011)2];相加过程中,产生向高位的进位时,应对产生进位的代码进行“加33修正”[即加(0011 0011)2]。

2.1有A、B、C三个输入信号,试列出下列问题的真值表,并写出最小项表达式∑m()。

(1)如果A、B、C均为0或其中一个信号为1时。输出F=1,其余情况下F=0。

(2)若A、B、C出现奇数个0时输出为1,其余情况输出为0。

(3)若A、B、C有两个或两个以上为1时,输出为1,其余情况下,输出为0。

解:F1(A,B,C)=∑m(0,1,2,4)

F2(A,B,C)=∑m(0,3,5,6)

F3(A,B,C)=∑m(3,5,6,7)

2.2试用真值表证明下列等式:

(1)A?B+B?C+A?C=ABC+?A?B?C

(2)?A?B+?B?C+?A?C=AB BC AC

真值表相同,所以等式成立。

(2)略

2.3对下列函数,说明对输入变量的哪些取值组合其输出为1?

(1)F(A,B,C)=AB+BC+AC

(2)F(A,B,C)=(A+B+C)(?A+?B+?C)

(3)F(A,B,C)=(?AB+?BC+A?C)AC

解:本题可用真值表、化成最小项表达式、卡诺图等多种方法求解。(1)F输出1的取值组合为:011、101、110、111。

(2)F输出1的取值组合为:001、010、011、100、101、110。(3)F输出1的取值组合为:101。

2.4试直接写出下列各式的反演式和对偶式。

(1)F(A,B,C,D,E)=[(A?B+C)·D+E]·B

(2) F(A,B,C,D,E)=AB+?C?D+BC+?D+?CE+B+E

(3) F(A,B,C)=?A?B+C ?AB C

解:(1) ?F=[(?A+B)·?C+?D]·?E+?B

F'=[(A+?B)·C+D]·E+B

(2) ?F=(?A+?B)(C+D)·(?B+?C)·D·(C+?E)·?B·?E

F'=(A+B)(?C+?D)·(B+C)·?D·(?C+E)·B·E

(3)?F=(A+B)·?C+ A+?B+C

F'=(?A+?B)·C+?A+B+?C

2.5用公式证明下列等式:

(1)?A?C+?A?B+BC+?A?C?D=?A+BC

(2)AB+?AC+(?B+?C) D=AB+?AC+D

(3)?BC?D+B?CD+ACD+?AB?C?D+?A?BCD+B?C?D+BCD=?BC+B?C+BD

(4)A?B?C+BC+BC?D+A?BD=?A + B +?C+?D

证明:略

2.6已知?ab+a?b=a⊕b,?a?b+ab=a b,证明:

(1)a⊕b⊕c=a b c

(2)a⊕b⊕c=?a ?b ?c

证明:略

2.7试证明:

(1)若?a?b+ a b=0则a x+b y=a?x + b?y

(2)若?a b+a?b=c,则?a c + a?c=b

证明:略

2.8将下列函数展开成最小项之和:

(1)F(ABC)=A+BC

(2)F(ABCD)=(B+?C)D+(?A+B) C

(3)F(ABC)=A+B+C+?A+B+C

解:(1)F(ABC)=∑m(3,4,5,6)

(2) F(ABCD)=∑m(1,3,5,6,7,9,13,14,15)

(3) F(ABC)=∑m(0,2,6)

2.9将题2.8中各题写成最大项表达式,并将结果与2.8题结果进行比较。

解:(1)F(ABC)=∏M(0,1,2)

(2) F(ABCD)=∏M(2,4,8,10,11,12)

(3)F(ABC)=∏M(1,3,4,5,7)

2.10试写出下列各函数表达式F的?F和F'的最小项表达式。

(1)F=ABCD+ACD+B?C?D

(2)F=A?B+?AB+BC

解:(1)?F=∑m(0,1,2,3,5,6,7,8,9,10,13,14)

F'=∑m(1,2,5,6,7,8,9,10,12,13,14,15)

(2) ?F=∑m(0,1,2,3,12,13)

F'=∑m(2,3,12,13,14,15)

2.11试用公式法把下列各表达式化简为最简与或式

(1)F=A+AB?C+ABC+BC+B

解:F =A+B

(2) F=(A+B)(A+B+C)(?A+C)(B+C+D)

解:F'=AB+?AC

(3) F=AB+?A?B ?BC+?B?C

解:F=AB+?B?C+?AC

或:F=?A?B+A?C+BC

(4) F=A?C?D+BC+?BD+A?B+?AC+?B?C

解:F=A?D+C+?B

(5) F=AC+?BC+B(A?C+?AC)

解:F=AC+?BC

2.12用卡诺图把下列函数化简为最简与或式

(1)F(A,B,C)=∑m(0,1,2,4,5,7)

解:F=?B+?A?C+AC

图略

(2)F(A,B,C,D)=∑m(0,2,5,6,7,9,10,14,15)

解:F=A?B?CD+?A?B?D+?ABD+BC+C?D

图略

(3)F(A,B,C,D)=∑m(0,1,4,7,9,10,13) +∑φ(2,5,8,12,15)

解:F=?C+BD+?B?D

图略

(4)F(A,B,C,D)=∑m(7,13,15) 且?A?B?C=0, ?AB?C=0, ?A?BC=0

解:F(A,B,C,D)=BD

图略

(5) F(A,B,C,D)=AB?C+A?B?C+?A?BC?D+A?BC?D且ABCD不可同时为1或同时为0 解:F(A,B,C,D)=?B?D+A?C

图略

(6)F(A,B,C,D)=∏M (5,7,13,15)

解:F=?B+?D

图略

(7)F(A,B,C,D)=∏M (1,3,9,10,14,15)

解:F=?A?D+?AB+?C?D+B?C+A?BCD

图略

(8)F(A,B,C,D,E)=∑m(0,4,5,6,7,8,11,13,15,16,20,21,22,23,24,25,27,29,31)

解:F=?C?D?E+?BC+CE+BDE+ABE

图略

2.13用卡诺图将下列函数化为最简或与式

(1)F(A,B,C)=∑m(0,1,2,4,5,7)

解:F=(A+?B+?C)(?A+?B+C)

图略

(2)F(A,B,C)=∏M (5,7,13,15)

解:F=(?B+?D)

图略

2.14 已知:F1(A,B,C)=∑m(1,2,3,5,7) +∑φ(0,6),F2(A,B,C)=∑m(0,3,4,6) +∑φ(2,5),求F=F1⊕F2

的最简与或式

解:F=A+?B

4.1分析图4.1电路的逻辑功能

解:(1)推导输出表达式(略)

(2) 列真值表(略)

(3)逻辑功能:当M=0时,实现3位自然二进制码转换成3位循环码。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字电路与系统分析第一章习题答案

数字电路与系统习题参考答案 南京邮电学院电子工程系 2003/12

习题答案 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1.3二进制数00000000~11111111和0000000000~1111111111分别可以代表多少个数? 解:分别代表28=256和210=1024个数。 1.4 将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1.6 将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1.7 将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1.8 转换下列各数,要求转换后保持原精度: 解:(1.125)10=(1.0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 ——先将2421BCD码转换成十进制数(252)10,再转换成二进制数。 (0110.1010)余3循环BCD码=(1.1110)2 ——余3循环BCD码中的1和0没有权值意义,因此先转换成十进制数(1.9)10,得出原精度为10-1,转换的二进制的小数位k≥3.3,因此至少取4位。 1.9 用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码

《数字电路与系统》期末试卷(A卷)

北京理工大学珠海学院 2008 ~ 2009学年第二学期《数字电路与系统》期末试卷(A ) 一、填空题(每空1分,共20分)【得分: 】 1.数制转换:(3EC)H =( )D =( )O 。 2.逻辑代数的基本运算有 、 、 三种。 3.数字电路按照是否有记忆功能通常可分为 、 两类。 4.能够实现“线与”的TTL 门电路叫 。 5.JK 触发器当时钟到来时,如果J=0,K=0,触发器的状态将 ; 如果J=1,K=1,触发器的状态将 。 6.时序逻辑电路按照其触发器是否有统一的时钟控制分为 时序电路和 时序电路。 7.TTL 与非门中,关门电平VOFF 的定义是 。 开门电平VON 的定义是 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A2A1A0=110时,输出01234567Y Y Y Y Y Y Y Y 应为 。 9.一个10位地址码、8位输出的ROM ,其存储容量为 。 10.数字系统的设计方法有 和 两种。 11.用VHDL 语言描述电路时,基本结构由 和 两部分组成。 二、选择题(每小题2分,共10分)【得分: 】 1.将十进制数13.25转换成二进制数为( )。 A .1011.01 B.1011.1 C.1101.1 D.1101.01 2.用8421BCD 码表示两位十进制数,则至少需要( )0、1码。 A. 5位 B.7位 C.8位 D.6位 3.n 个变量的最小项是( )。 A.n 个变量的积项,它包含全部n 个变量,每个变量可用原变量或非变量。

第2页共6页 B.n 个变量的和项,它包含全部n 个变量,每个变量可用原变量或非变量。 C.n 个变量的积项,它包含全部n 个变量,每个变量仅为原变量。 D.n 个变量的和项,它包含全部n 个变量,每个变量仅为非变量。 4.用JK 触发器设计12进制同步计数器,至少需要( )触发器。 A.2个 B.3个 C.4个 D.5个 5.一个4位移位寄存器可以构成最长计数器的长度是( )。 A.8 B.12 C.15 D.16 三、逻辑函数化简(每小题5分,共10分)【得分: 】 1.用代数化简法化简函数EF B EF B A BD C A AB D A AD L ++++++= 2.将下列逻辑函数用卡诺图法化简为最简与或表达式。 F(A,B,C,D)=Σm(0,1,5,7,8,11,14)+Σd(3,9,15) 四、分析与设计题(共20分) 【得分: 】

数字电子技术基础课后答案全解

第3章 逻辑代数及逻辑门 【3-1】 填空 1、与模拟信号相比,数字信号的特点是它的 离散 性。一个数字信号只有两种取值分别表示为0 和1 。 2、布尔代数中有三种最基本运算: 与 、 或 和 非 ,在此基础上又派生出五种基本运算,分别为与非、或非、异或、同或和与或非。 3、与运算的法则可概述为:有“0”出 0 ,全“1”出 1;类似地或运算的法则为 有”1”出”1”,全”0”出”0” 。 4、摩根定理表示为:A B ?=A B + ;A B +=A B ?。 5、函数表达式Y=AB C D ++,则其对偶式为Y '=()A B C D +?。 6、根据反演规则,若Y=AB C D C +++,则Y =()AB C D C ++? 。 7、指出下列各式中哪些是四变量A B C D 的最小项和最大项。在最小项后的( )里填入m i ,在最大项后的( )里填入M i ,其它填×(i 为最小项或最大项的序号)。 (1) A +B +D (× ); (2) ABCD (m 7 ); (3) ABC ( × ) (4)AB (C +D ) (×); (5) A B C D +++ (M 9 ) ; (6) A+B+CD (× ); 8、函数式F=AB+BC+CD 写成最小项之和的形式结果应为m ∑(3,6,7,11,12,13,14,15), 写成最大项之积的形式结果应为 M (∏ 0,1,2,4,5,8,9,10 ) 9、对逻辑运算判断下述说法是否正确,正确者在其后( )内打对号,反之打×。 (1) 若X +Y =X +Z ,则Y=Z ;( × ) (2) 若XY=XZ ,则Y=Z ;( × ) (3) 若X ⊕Y=X ⊕Z ,则Y=Z ;(√ ) 【3-2】用代数法化简下列各式 (1) F 1 =1ABC AB += (2) F 2 =ABCD ABD ACD AD ++= (3)3F AC ABC ACD CD A CD =+++=+ (4) 4()()F A B C A B C A B C A BC =++?++?++=+ 【3-3】 用卡诺图化简下列各式 (1) 1F BC AB ABC AB C =++=+ (2) 2F AB BC BC A B =++=+ (3) 3F AC AC BC BC AB AC BC =+++=++ (4) 4F ABC ABD ACD CD ABC ACD A D =+++++=+

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

大工《数字电路与系统》课程考试模拟试卷A答案

大连理工大学网络教育学院 《数字电路与系统》课程考试模拟试卷答案 考试形式:闭卷试卷类型:A 一、单项选择题(本大题共6小题,每小题3分,共18分) 1.B 2.C 3.C 4.B 5.A 6.C 二、填空题(本大题共10空,每空2分,共20分) 1.(1) 213 (2) 12.04 (3) 40D (4) 10101001.1100 2. 三、化简题(本大题共3小题,每小题6分,共18分) =++ 1.答:F XYZ XY XY Z =+(3分) XY XY =(3分) Y 2.答:填卡诺图,圈1,得到最简与或表达式。

(3分) Y B AD ACD ∴ =++ (3分) 3.证明:0A ⊕ 00A A =+ (3分) A = (3分) 四、简答题(本大题共3小题,第1、2小题各7分,第3小题10分,共24分) 1.答: Q Q O O t t S R O O t t (错1处扣1分,图都正确7分满分) 2.答: ln( )DD T T DD T T V V V T RC V V V -+ +- -=- (3分)

3812 2.7 6.3 201010ln()s 12 6.3 2.7 --=????- (2分) 0.267ms 267μs == (2分) 3.答:(1)3 ref f 322821016V 210 V FSR R R ???===? (2分) (2)当123011X X X =时,333 166V 28o V FSR =-=-?=- (2分) 当123110X X X =时,366 1612V 28 o V FSR =-=-?=- (2分) (3),min 311 162V 28 o V FSR =-=-?= (2分) (4)3,max 3217 1614V 28 o V FSR -=-=-?=- (2分) 五、计算题(本大题1小题,共20分) 1.答:(1)分析。分别用变量A 、B 、C 代表三人的表决选择,变量取值可以为1和0,分别代表同意和反对,最后的表决结果为变量Y ,其取值0表示提议未通过,1表示提议通过。 (3分) (2)列出真值表,如下表所示。 五.1题真值表 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 (真值表3分) (3)化简逻辑函数,如下图(a )所示,得到 Y AB BC AC =++ (3分) (4)画出逻辑图,如下图(b )所示。 如果要求用与非门实现该逻辑电路,就应将表达式转换成与非-与非表达式: Y AB BC AC ABBC AC =++= (3分)

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术课后题答案

第1单元能力训练检测题(共100分,120分钟) 一、填空题:(每空分,共20分) 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题(每小题1分,共10分) 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。(对) 3、8421BCD码、2421BCD码和余3码都属于有权码。(错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。(对)

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电路与系统设计课后习题答案

1、1将下列各式写成按权展开式: (352、6)10=3×102+5×101+2×100+6×10-1 (101、101)2=1×22+1×20+1×2-1+1×2-3 (54、6)8=5×81+54×80+6×8-1 (13A、4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1、2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1、3二进制数00000000~11111111与0000000000~1111111111分别可以代表多少个数?解:分别代表28=256与210=1024个数。 1、4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1、5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1、6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1、8转换下列各数,要求转换后保持原精度: 解:(1、125)10=(1、0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110、1010)余3循环BCD码=(1、1110)2 1、9用下列代码表示(123)10,(1011、01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011、01)2=(11、25)10=(0001 0001、0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011、01)2=(11、25)10=(0100 0100、0101 1000)余3BCD 1、10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2 (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。解:(1)A+B=(10001001)2=(137)10 A-B=(101011)2=(43)10 C×D=(111111000)2=(504)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。 1、11试用8421BCD码完成下列十进制数的运算。 解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

北京航空航天大学2011《数字电路与系统》期末考试试卷(A 卷)

北京航空航天大学 2011 ~2012 学年第一学期 《数字电路与系统》期末考试试卷(A卷) 答案及参考评分标准 一、(10分,每小题2分)判断各题正误,正确的在括号内记“√”,错误的在 括号内记“×”。 (1) 对于十进制纯小数,求它的二进制表示可以采用“除2取余”法。………(×) (2) TTL门电路在高电平输入时,其输入电流很小(74系列每个输入端的输入电 流约为40μA)。…………………………………………………………(√) (3) 三态门输出为高阻时,其输出线上的电压为高电平。…………………(×) (4) 单稳态触发器的暂稳态维持时间的长短取决于外界触发脉冲的频率和幅 度。…………………………………………………………………………(×)(5) 当时序逻辑电路存在无效循环时,该电路不能自启动。………………(√) 第 1 页共11 页

三、(15分)如图3-1(1) (2) (3) 化简后的结果Y 2(解: (1) Y (A ,B ,C ,D )=B A ??(2) Y 1(A ,B ,C ,D )=C B ?说明:(3) Y 2(A ,B ,C ,D )= Y 1(A ,说明:

四、(15分)已知电路原理图如图4-1所示,CP1、CP2的波形如图4-2所示, 设触发器的初始状态均为“0”,请在图4-2中画出输出端B和C的波形。 图4-1 图4-2 解: 评分标准:①只要画对任意一次B、C和CP1/CP2的配合关系,即可得7分,其余二次,B和C的波形每对1次,2分;②如果三次配合关系都不对,则B和C 的波形每对1次,2分;③如果画得配合关系无法识别,则能够正确识别上升沿触发,每对1次,1分;④如果画出了异步清零的门传输延迟,则是更加精确的答案,同样可以得分,且可以在出现其它失误的时候可以酌情加1~2分。 第 4 页共11 页

数字电子技术基础第四版课后答案

第七章半导体存储器 [题7.1] 存储器和寄存器在电路结构和工作原理上有何不同? [解] 参见第7.1节。 [题7.2] 动态存储器和静态存储器在电路结构和读/写操作上有何不同? [解] 参见第7.3.1节和第7.3.2节。 [题7.3] 某台计算机的内存储器设置有32位的地址线,16位并行数据输入/输出端,试计算它的最大存储量是多少? [解] 最大存储量为232×16=210×210×210×26=1K×1K×1K×26=64G [题7.4] 试用4片2114(1024×4位的RAM)和3线-8线译码器74LS138(见图3.3.8)组成4096×4位的RAM。 [解] 见图A7.4。 [题7.5] 试用16片2114(1024×4位的RAM)和3线-8线译码器74LS138(见图3.3.8)接成一个8K×8位的RAM。 [解] 见图A7.5。

[题7.6] 已知ROM 的数据表如表P7.6所示,若将地址输入A 3A 2A 1A 0作为4个输入逻辑变量,将数据输出D 3D 2D 1D 0作为函数输出,试写出输出与输入间的逻辑函数式。 [ 解] D 3=0123012301230123A A A A A A A A A A A A A A A A +++ D 2=01230123012301230123A A A A A A A A A A A A A A A A A A A A ++++ +0123A A A A D 1=0123012301230123A A A A A A A A A A A A A A A A +++ D 0=01230123A A A A A A A A + [题7.7] 图P7.7是一个16×4位的ROM ,A 3、、A 2、A 1、A 0为地址输入,D 3、D 2、D 1、D 0是数据输出,若将D 3、D 2、D 1、D 0视为A 3、、A 2、A 1、A 0的逻辑函数,试写出D 3、D 2、D 1、D 0的逻辑函数式。 [解] 01230123012301233A A A A A A A A A A A A A A A A D +++= 0123012301232A A A A A A A A A A A A D ++= 12301230123012301231A A A A A A A A A A A A A A A A A A A A D ++++= 012301230123012301230A A A A A A A A A A A A A A A A A A A A D ++++= 01230123 A A A A A A A A ++ [题7.8] 用16×4位的ROM 设计一个将两个2位二进制数相乘的乘法器电路,列出ROM 的数据表,画出存储矩阵的点阵图。 地址输入 数据输出 地址输入 数据输出 A 3A 2A 1A 0 D 3D 2D 1D 0 A 3A 2A 1A 0 D 3D 2D 1D 0 0000 000 1 0010 001 1 0100 010 1 0110 011 1 000 1 0010 0010 0100 0010 0100 0100 1000 1000 100 1 1010 101 1 1100 110 1 1110 111 1 0010 0100 0100 1000 0100 1000 1000 000 1

数字电子技术课后答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F += )(D C B A F +=' 6.))((C B D C B A F +++= 7. 代数法 卡诺图 8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F =1⊙B AB F =2 B A F +=3 1.5 1.6 C L = 1.7 AB C B A BC Y ++= 习题 1.1 当000012=A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C ++=0 1.3略 1.4 (1) )(B A D C F ++=)(1 ))((1B A D C F ++=' (2) )(B A B A F ++=)(2 ))((2B A B A F ++=' (3) E D C B A F =3 DE C AB F =' 3

(4) )()(4D A B A C E A F +++=)( ))()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L ++= (2) D B C B D C A L +++= (3) AD L = (4) E ABCD L = (5) 0=L 1.7 C B A BC A C AB ABC C B A L +++=),,( 1.8(1) ABD D A C F ++=1 (2) BC AB AC F ++=2 (3) C A B A B A F ++=3 (有多个答案) (4) C B D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F +++=5 (6) 16=F 1.9 (1) AD D C B B A F ++=1 (2) B A AC F +=2 (3) D A D B C B F ++=3 (4) B C F +=4 1.10 (1) C A B F +=1 (2) B C F +=2 (3) D A B C F ++=3 (4) C B A D B D C F ++=4 1.11 C A B A D F ++= 1.12 (1) D B A D C A D C B F ++=1(多种答案) (2) C B BCD D C D B F +++=2 (3) C B C A D C F ++=3 (4) A B F +=4 (5) BD D B F +=5 (6) C B D A D C A F ++=6(多种答案) (7) C A D B F +=7(多种答案) (8) BC D B F +=8(多种答案) (9) B D C F +=9 1.13 略 第2章 自测题 2.1 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题 2.1解:ABC Y =1

相关主题