搜档网
当前位置:搜档网 › ADC0809中文资料

ADC0809中文资料

ADC0809中文资料
ADC0809中文资料

ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。

(1)ADC0809的内部逻辑结构

由下图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。

(2).ADC0809引脚结构

ADC0809各脚功能如下:

D7-D0:8位数字量输出引脚。

IN0-IN7:8位模拟量输入引脚。

VCC:+5V工作电压。

GND:地。

REF(+):参考电压正端。

REF(-):参考电压负端。

START:A/D转换启动信号输入端。

ALE:地址锁存允许信号输入端。

(以上两种信号用于启动A/D转换)

EOC:转换结束信号输出引脚,开始转换时为低电平,当转换结束时为高电平。

OE:输出允许控制端,用以打开三态数据输出锁存器。

CLK:时钟信号输入端(一般为500KHz)。

A、B、C:地址输入线。

ADC0809对输入模拟量要求:信号单极性,电压范围是0-5V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。

地址输入和控制线:4条

ALE为地址锁存允许输入线,高电平有效。当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进入转换器进行转换。A,B和C为地址输入线,用于选通IN0-IN7上的一路模拟量输入。通道选择表如下表所示。

数字量输出及控制线:11条

ST为转换启动信号。当ST上跳沿时,所有内部寄存器清零;下跳沿时,开始进行A/D 转换;在转换期间,ST应保持低电平。EOC为转换结束信号。当EOC为高电平时,表明转换结束;否则,表明正在进行A/D转换。OE为输出允许信号,用于控制三条输出锁存器向单片机输出转换得到的数据。OE=1,输出转换得到的数据;OE=0,输出数据线呈高阻状态。D7-D0为数字量输出线。

CLK为时钟输入信号线。因ADC0809的内部没有时钟电路,所需时钟信号必须由外界提供,通常使用频率为500KHZ,

VREF(+),VREF(-)为参考电压输入。

2.ADC0809应用说明

(1).ADC0809内部带有输出锁存器,可以与AT89S51单片机直接相连。

(2).初始化时,使ST和OE信号全为低电平。

(3).送要转换的哪一通道的地址到A,B,C端口上。

(4).在ST端给出一个至少有100ns宽的正脉冲信号。

(5).是否转换完毕,我们根据EOC信号来判断。

(6).当EOC变为高电平时,这时给OE为高电平,转换的数据就输出给单片机了。3.实验任务

如下图所示,从ADC0809的通道IN3输入0-5V之间的模拟量,通过ADC0809转换成数字量在数码管上以十进制形成显示出来。ADC0809的VREF接+5V电压。

4.ADC0809应用电路原理图

6.程序设计内容

(1).进行A/D转换时,采用查询EOC的标志信号来检测A/D转换是否完毕,若完毕则把数据通过P0端口读入,经过数据处理之后在数码管上显示。

(2).进行A/D转换之前,要启动转换的方法:

ABC=110选择第三通道

ST=0,ST=1,ST=0产生启动转换的正脉冲信号.

7.C语言源程序

#include

unsigned char code dispbitcode[]={ 0xfe,0xfd,0xfb,0xf7,

0xef,0xdf,0xbf,0x7f};

unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,

0x6d,0x7d,0x07,0x7f,0x6f,0x00};

unsigned char dispbuf[8]={10,10,10,10,10,0,0,0};

unsigned char dispcount;

sbit ST=P3^0;

sbit OE=P3^1;

sbit EOC=P3^2;

unsigned char channel=0xbc;//IN3

unsigned char getdata;

void main(void)

{

TMOD=0x01;

TH0=(65536-4000)/256;

TL0=(65536-4000)%256;

TR0=1;

ET0=1;

EA=1;

P3=channel;

while(1)

{

ST=0;

ST=1;

ST=0;

while(EOC==0);

OE=1;

getdata=P0;

OE=0;

dispbuf[2]=getdata/100; getdata=getdata%10;

dispbuf[1]=getdata/10;

dispbuf[0]=getdata%10;

}

}

void t0(void) interrupt 1 using 0 {

TH0=(65536-4000)/256;

TL0=(65536-4000)%256;

P1=dispcode[dispbuf[dispcount]]; P2=dispbitcode[dispcount]; dispcount++;

if(dispcount==8)

{

dispcount=0;

}

51单片机控制ADC0809的电路图和源程序

ADC0809数模转换与显示 /* 名称:ADC0809数模转换与显示 说明:ADC0809采样通道3输入的模拟量,转换后的结果显示在数码管上。*/ #include #define uchar unsigned char #define uint unsigned int //各数字的数码管段码(共阴) uchar code DSY_CODE[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; sbit CLK=P1^3; //时钟信号 sbit ST=P1^2; //启动信号 sbit EOC=P1^1; //转换结束信号 sbit OE=P1^0; //输出使能 //延时 void DelayMS(uint ms) { uchar i; while(ms--) for(i=0;i<120;i++); } //显示转换结果 void Display_Result(uchar d) { P2=0xf7; //第4个数码管显示个位数 P0=DSY_CODE[d%10]; DelayMS(5); P2=0xfb; //第3个数码管显示十位数 P0=DSY_CODE[d%100/10]; DelayMS(5); P2=0xfd; //第2个数码管显示百位数 P0=DSY_CODE[d/100]; DelayMS(5); } //主程序 void main() { TMOD=0x02; //T1工作模式2 TH0=0x14; TL0=0x00; IE=0x82; TR0=1; P1=0x3f; //选择ADC0809的通道3(0111)(P1.4~P1.6) while(1) {

ADC0809模数转换与显示

ADC0809模数转换与显示 ADC0809模数转换与显示(第四次实验) 华侨大学08自动化 实验目的: 1. 掌握ADC的使用控制方法。实验内容:基本要求:设计一程序采集ADC0809第3通道的电压值,将其转换为数字量,并在数码管上显示;5V显示为255, 0V显示为000。扩展要求:将转换结果以两位小数精确显示,5V显示5.00, 2.3V显示2.30,依次推广之。 一、实验原理图: 二、程序流程图:

1 开始定时器初始化,对ADC0809初始化,选择通道3 给START一个脉冲,启动信号输入端判断EOC是否为0 N Y 将OE置1 读P3口数字数字处理,调用显示OE置0 返回三、源程序 1、基本要求: OE EQU P1.0 MOV SP,#60H EOC EQU P1.1 MOV TMOD,#02H ST EQU P1.2 MOV TH0,#14H CLK EQU P1.3 MOV TL0,#00H SHU EQU 30H MOV IE,#82H TEMP EQU 31H SETB TR0 ORG 0000H MOV A,#3FH AJMP MAIN MOV P1,A ORG 000BH MOV SHU,#0 CPL CLK SCAN: RETI CLR ST ORG 0100H SETB ST MAIN: CLR ST 2 M0: JNB EOC,M0 SETB OE

MOV A,#0FFH MOV P3,A M1: MOV A,P3 MOV SHU,A LCALL CHANGE LCALL DISP CLR OE AJMP SCAN DISP: MOV R0,#TEMP MOV R2,#00H DISP1:MOV A,R2 MOV DPTR,#TAB1 MOVC A,@A+DPTR MOV P2,A MOV A,@R0 MOV DPTR,#TAB MOVC A,@A+DPTR MOV P0,A MOV R5,#5 ACALL DELAY INC R0 INC R2 2、扩展部分: OE EQU P1.0 EOC EQU P1.1 ST EQU P1.2 CLK EQU P1.3 SHU EQU 30H TEMP EQU 20H ORG 0000H AJMP MAIN ORG 000BH CPL CLK RETI ORG 0100H MAIN: MOV SP,#60H CJNE R2,#3,DISP1 MOV P0,#00H RET

ADC0809数模转换程序

/******************** //版权和版本声明 * 文件标识: * 摘要: * 当前版本:1.0 * 作者:输入作者(或修改者)名字 * 完成日期:2013年3月25日 **********************************/ #include //头文件 unsigned char code Tab[11]={0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07,0x7f,0x6f,}; unsigned char dat[]={0,0,0,0}; unsigned char adc; unsigned int i,j,k; /***********ADC0808************************/ sbit LED = P2^3; sbit ST=P2^4; //定义ADC0809 位 sbit EOC=P2^5; sbit OE=P2^6; sbit CLK =P2^7; /************ 数码管位码****************/ sbit wei1=P3^7; //定义数码管为码位 sbit wei2=P3^6; sbit wei3=P3^5; sbit wei4=P3^4; /**************************** * 函数名称:延时函数

* 函数功能:延时函数 * 输入/输出参数: * 返回值: ***************************************/ void delay(unsigned int xms) //延时及clk 0809时钟{ for(i=0;i

ADC0809进行AD转换

ADC0809进行A/D转换(C描述) 2008-06-24 16:53 指针:可对内存地址直接操作 基于存贮器的指以贮器类为参量,它在编译时才被确定。因此为指针选择存贮器的方法可以省掉,以这些指针的长度可为1个字节(idata *,data *,pdata *)或2个这节(code *,xdata *)。 char xdata *address; ADC0809具有8个模拟量输入通道,采用中断方式,在中断函数中读取8个通道的A/D 转换值,分别存储在外部RAM的1000H~1007H单元。ADC0809端口地址为00F0H。 程序定义了两个指针变量* ADC和* ADCdata,分别指向ADC0809端口地址(00F0H)和外部RAM单元地址(1000H~1007H) 由*ADC=I送入通道数,启动ADC0809进行A/D转换,转换结束时产生INT1中断。在中断服务函数int1()中通过temp=*ADC和*ADCdata=temp;读取A/D转换结果并存到外部RAM中。 #include unsigned int xdata *ADC; /*定义ADC0809端口指针*/ unsigned int xdata *ADCdata; /*定义ADC0809数据缓冲器指针*/ unsigned char I; void main( ) { ADC=0x00f0; /*定义端口地址和数据缓冲器地址*/ ADCdata=0x1000; I=8; /* ADC0809有8个模拟输入通道*/ EA=1; EX1=1;IT1=1; /*开中断*/ *ADC=I; /*启动ADC0809*/ WHILE(I); /*等待8个通道A/D转换完*/ } void int1() interrupt 2 { unsigned char tmp; temp=*ADC; /*读取A/D转换结果*/ *ADCdata=temp; /*结果值存到数据缓冲区*/ ADCdata++; /*数据缓冲区地址加1*/ i—; *ADC=I; /*启动下一个模拟输入通道A/D转换*/ } 除了用指针变量来实现对内存地址的直接操作外,c51编译器还提供一组宏,该宏定义文件为:“absacc.h”,利用它可十分方便地实现对任何内存空间的直接操作,改写上面的程序: #include #include /*包含绝对地址操作预定义头文件*/ #define ADC 0x00f0; /*定义ADC0809端口地址*/

FPGA与ADC0809接口电路详解

FPGA与ADC0809接口电路详解 注:(1)本程序基于FPGA和vhdl编写 有详尽的程序解释和原理分析 以及原理图,状态图 (2)对于adc0809具体资料可上网查在此不累述 一.FPGA与ADC0809的接口电路图原理 二.关于ADC0809的说明(重点) (1)ale信号(引脚):高电平时把三个地址信号送入地址锁存器,并经译码器得到地址数据,以选择相应的模拟输入通道。 (2)oe信号(引脚)en使能信号:电平由低变高时,打开数据输出锁存器,将转换数据送到数据总线上 (3)eoc信号(引脚):eoc为高电平时完成转换,为低电平时正在转换。 (4)start信号(引脚):要给start线送一个100ns宽的启动正脉冲,start下跳沿时,开始进行A/D转换,在转换期间start以保持低电平。

三.转换状态图 对于状态图的真值表未列出 注意对应的注释为vhdl 语句 ale<='1';start<='0';en<='0'; ----eoc='1' ale<='0';start<='0';en<='0';--再次检测数据是否转换完 if eoc='0' then next_state<=st4; else next_state<=st5; 器,将数据送入数据总线 存器 四.ADC0809采样接口电路程序 --*********ADC0809采样控制************* --******因为FPGA 的时钟频率为50MHz ,则256分频后,即ADC0809输入时钟为195KHz****** --******对ADC0809进行简单的采样控制,得到的数据进FPGA 送到8个并排的数码管显示***** library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity PL_AD is port ( d : in std_logic_vector(7 downto 0); --ADC0809输出的采样数据输入FPGA clk,eoc: in std_logic; --clk 为系统时钟,eoc 为ADC0809转换结束信号输入FPGA lock1,start, ale,en: out std_logic; --ADC0809控制信号FPGA 输出信号 abc_in :in std_logic_vector(2 downto 0); --模拟选通信号 abc_out :std_logic_vector(2 downto 0);--ADC0809模拟信号选通信号 q : out std_logic_vector(7 downto 0));送至8个并排数码管信号FPGA 输出数字信号 end pl_AD; architecture behav of PL_AD is type states is ( st0,st1, st2, st3, st4,st5,st6);--定义状态类型枚举类型

ADC0809功能及程序介绍

ADC0809引脚图与接口电路 作者:来源:本站原创点击数:更新时间:2007年07月29日 A/D转换器芯片ADC0809简介 8路模拟信号的分时采集,片内有8路模拟选通开关,以及相应的通道抵制锁存用译码电路,其转换时间为100μs左右。 图9.8 《ADC0809引脚图》 1. ADC0809的内部结构 ADC0809的内部逻辑结构图如图9-7所示。

图9.7 《ADC0809内部逻辑结构》 图中多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用一个A/D 转换器进行转换,这是一种经济的多路数据采集方法。地址锁存与译码电路完成对A、B、C 3个地址位进行锁存和译码,其译码输出用于通道选择,其转换结果通过三态输出锁存器存放、输出,因此可以直接与系统数据总线相连,表9-1为通道选择表。 表9-1 通道选择表 2.信号引脚 ADC0809芯片为28引脚为双列直插式封装,其引脚排列见图9.8。

对ADC0809主要信号引脚的功能说明如下: IN 7~IN ——模拟量输入通道 ALE——地址锁存允许信号。对应ALE上跳沿,A、B、C地址状态送入地址锁存器中。 START——转换启动信号。START上升沿时,复位ADC0809;START下降沿时启动芯片,开始进行A/D转换;在A/D转换期间,START应保持低电平。本信号有时简写为ST. A、B、C——地址线。通道端口选择线,A为低地址,C为高地址,引脚图中为ADDA,ADDB和ADDC。其地址状态与通道对应关系见表9-1。 CLK——时钟信号。ADC0809的内部没有时钟电路,所需时钟信号由外界提供,因此有时钟信号引脚。通常使用频率为500KHz的时钟信号 EOC——转换结束信号。EOC=0,正在进行转换;EOC=1,转换结束。使用中该状态信号即可作为查询的状态标志,又可作为中断请求信号使用。 D 7~D ——数据输出线。为三态缓冲输出形式,可以和单片机的数据线直接相连。 D 0为最低位,D 7 为最高 OE——输出允许信号。用于控制三态输出锁存器向单片机输出转换得到的数据。OE=0,输出数据线呈高阻;OE=1,输出转换得到的数据。 Vcc—— +5V电源。 Vref——参考电源参考电压用来与输入的模拟信号进行比较,作为逐次逼近的基 准。其典型值为+5V(Vref (+)=+5V, Vref (-) =-5V). 9.2.2 MCS-51单片机与ADC0809的接口ADC0809与MCS-51单片机的连接如图9.10所示。

ADC0809与51单片机接口电路及应用程序

ADC0809与51单片机接口电路及应用程序 最近研究了下ADC0809这个芯片,做了个电路,和大家分享 电路原理图如下: 500)this.width=500;" border=0> 说明:D0~D7接51单片机的P2口(P2.0~P2.7) ADIN1和ADIN2为通道IN0和IN1的电压模拟量输入(0~5V) 应用程序如下: #include"reg52.h" #define uchar unsigned char sbit ST=P1^0; sbit EOC=P1^1; sbit OE=P1^2; sbit CLK=P1^3; sbit ADDCS=P1^4; uchar AD_DATA[2]; //保存IN0和IN1经AD转换后的数据

/**********延时函数************/ void delay(uchar i) { uchar j; while(i--) { for(j=125;j>0;j--) ; } } /*********系统初始化***********/ void init() { EA = 1; //开总中断 TMOD = 0x02; //设定定时器T0工作方式 TH0=216; //利用T0中断产生CLK信号 TL0=216; TR0=1; //启动定时器T0 ET0=1; ST=0; OE=0; } /***********T0中断服务程序************/ void t0(void) interrupt 1 using 0 { CLK=~CLK; } /***********AD转换函数**********/ void AD() { ST=0; ADDCS=0; //选择通道IN0 delay(10); ST=1; //启动AD转换 delay(10); ST=0; while(0==EOC) ; OE=1; AD_DATA[0]=P2;

ADC0809驱动FPGA实现的verilog程序

/*FPGA实现的程序:(verilog) 贴子回复于:2008-4-27 15:26:01*/ module AD0809(clk500K, //脉宽(至少100ns) rst_n, EOC, //约100us后EOC变为高电平转换结束 START, //启动信号,上升沿有效(至少100ns) OE, //高电平打开三态缓冲器输出转换数据 ALE, //高电平有效,选择信道口 ADDA, //因为ADDB,ADDC都接地了,这里只有ADDA为变量 DATA, //转换数据 DATA_R); output START,OE,ALE,ADDA; input EOC,clk500K,rst_n; input[7:0] DATA; output[7:0] DATA_R; reg START,OE,ALE,ADDA; reg[7:0] DATA_R; reg[4:0] CS,NS; parameter IDLE=5'b00001,START_H=5'b00010,START_L=5'b00100,CHECK_END=5'b01000,GET_DATA=5'b100 00; always @(posedge clk500K) case(CS) IDLE: NS=START_H; START_H: NS=START_L; START_L: NS=CHECK_END; CHECK_END: if(EOC) NS=GET_DATA; else NS=CHECK_END; GET_DATA: NS=IDLE; default: NS=IDLE; endcase always @(posedge clk500K) if(!rst_n) CS<=IDLE;

ADC0809做AD转换的C程序

ADC0809做AD转换的C程序 #include<> unsigned char code dispbitcode[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; unsigned char dispbuf[4]; unsigned int i; unsigned int j; unsigned char getdata; unsigned int temp; unsigned int temp1; unsigned char count; unsigned char d; sbit ST=P3^0; sbit OE=P3^1; sbit EOC=P3^2; sbit CLK=P3^3; sbit P34=P3^4; sbit P35=P3^5; sbit P36=P3^6; sbit P20=P2^0; sbit P21=P2^1; sbit P22=P2^2; sbit P23=P2^3; sbit P17=P1^7; void TimeInitial(); void Delay(unsigned int i);// void TimeInitial()

{ TMOD=0x10; TH1=(65536-200)/256;//定时时间为2us,亦即CLK周期为 TL1=(65536-200)%256; EA=1; ET1=1; TR1=1; } void Delay(unsigned int i)//延时函数 { unsigned int j; for(;i>0;i--) { for(j=0;j<125;j++) {;} } } void Display()// { P1=dispbitcode[dispbuf[3]];//十位 P20=0; P21=1; P22=1; P23=1; Delay(10); P1=0x00; P1=dispbitcode[dispbuf[2]];//个位

adc0809上机参考程序

1、A/D0809实验 地址(16进制)机器码(16进制)汇编语言ASM 1100 BAA004 MOV DX, 04A0H ; 1103 B83400 MOV AX, 34H ; 1106 EF OUT DX, AX ; 1107 BAB004 MOV DX, 04B0H ; 110A BD0010 MOV BP, 1000H ; 110D 4D LAY1: DEC BP ; 110E 83FD00 CMP BP, 0 ; 1111 75FA JNE LAY1 ; 1113 ED WAIT1: IN AX, DX ; 1114 250100 AND AX, 1 ; 1117 3D0100 CMP AX, 1 ; 111A 75F7 JNE WAIT1 ; 111C BAA004 MOV DX, 04A0H ; 111F ED IN AX, DX ; 1120 25FF00 AND AX, 0FFH ; 1123 8BC8 MOV CX, AX ; 1125 BAA204 MOV DX, 04A2H ; 1128 B83400 MOV AX, 34H ; 112B EF OUT DX, AX ; 112C BD0010 MOV BP, 1000H ; 112F 4D LAY2: DEC BP ; 1130 83FD00 CMP BP, 0 ; 1133 75FA JNE LAY2 ; 1135 BAB004 MOV DX, 04B0H ; 1138 ED WAIT2: IN AX, DX ; 1139 250100 AND AX, 1 ; 113C 3D0100 CMP AX, 1 ; 113F 75F7 JNE WAIT2 ; 1141 BAA204 MOV DX, 04A2H ; 地址(16进制)机器码(16进制)汇编语言ASM 1144 ED IN AX, DX ; 1145 25FF00 AND AX, 0FFH ; 1148 8BD8 MOV BX, AX ; 114A BAA404 MOV DX, 04A4H ; 114D B83400 MOV AX, 34H ; 1150 EF OUT DX, AX ; 1151 BD0010 MOV BP, 1000H ; 1154 4D LAY3: DEC BP ; 1155 83FD00 CMP BP, 0 ; 1158 75FA JNE LAY3 ; 115A BAB004 MOV DX, 04B0H ; 115D ED WAIT3: IN AX, DX ;

基于51单片机的ADC0809c程序代码

基于51单片机的ADC0809c程序代码

#include #define uchar unsigned char #define uint unsigned int sbit st=P3^2; //ST 为转换启动信号。当ST 上跳沿时,所有内部寄存器清零; //下跳沿时,开始进行 A/D 转换;在转换期间,ST 应保持低电平 sbit oe=P3^1; // OE 为输出允许信号,用于控制三条输出锁存器向 //单片机输出转换得到的数据,OE=1,输出转换得到的数据;OE=0,输出数据线呈高阻状态 sbit eoc=P3^0; //EOC 为转换结束信号。当EOC 为高电平时,表明转 //换结束;否则,表明正在进行 A/D 转换 uchar code tab[]={0x03,0x9f,0x25,0x0d,0x99,0x49,0x41 ,0x1f,0x01,0x09};// 数码管显示段码 uchar code td[]={0x00,0x10,0x20,0x30,0x40,0x50,0x60, 0x70};// 通道先择数组

uint ad_0809,ad_data1,ad_data2,ad_data3,ad_dat a0; uchar m,number; uchar x[8];//八通道数据待存数组 void delaynms(uint x);//nms 延时程序void display();//显示程序 void ad0809();//芯片启动程序 void key();//键扫描程序 void main() { number=1; P1=0x00; while(1) { ad0809();//调 AD0809 启动子程序 key();//调按键子程序 ad_0809=x[number];//把相关通道数据给ad_0809 display();//调显示 }} //nms 延时程序

ADc0809转换实例

实验七A/D转换实验 一、实验目的: 1.掌握A/D转换与单片机的接口方法。 2.了解A/D芯片ADC0809转换性能及编程方法。 3.通过实验了解单片机如何进行数据采集。 二、实验设备: EL-MUT-III型单片机实验箱、8051CPU模块 三、实验内容: 利用实验台上的ADC0809做A/D转换器,实验箱上的电位器提供模拟电压信号输入,编制程序,将模拟量转换成数字量,用数码管显示模拟量转换的结果。 四、实验原理: A/D转换器大致有三类:一是双积分A/D转换器,优点是精度高,抗干扰性好,价格便宜,但速度慢;二是逐次逼近法A/D转换器,精度、速度、价格适中;三是并行A/D转换器,速度快,价格也昂贵。 实验用的ADC0809属第二类,是八位A/D转换器。每采集一次需100us。 ADC0809 START端为A/D转换启动信号,ALE端为通道选择地址的锁存信号。实验电路中将其相连,以便同时锁存通道地址并开始A/D采样转换,故启动A/D转换只需如下两条指令: MOV DPTR,#PORT MOVX @DPTR,A A中为何内容并不重要,这是一次虚拟写。 在中断方式下,A/D转换结束后会自动产生EOC信号,将其与8031CPU板上的INT0相连接。在中断处理程序中,使用如下指令即可读取A/D转换的结果: MOV DPTR,#PORT MOVX A,@DPTR 五、实验电路:

六、实验步骤: 1.0809的片选信号CS0809接CS0。 2.电位器的输出信号AN0接0809的ADIN0。 3.EOC接CPU板的INT0. 七、程序框图:T15.ASM 主程序中断服务程序

ADC0809中文资料

ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。 (1)ADC0809的内部逻辑结构 由下图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。 (2).ADC0809引脚结构 ADC0809各脚功能如下: D7-D0:8位数字量输出引脚。 IN0-IN7:8位模拟量输入引脚。 VCC:+5V工作电压。 GND:地。 REF(+):参考电压正端。 REF(-):参考电压负端。 START:A/D转换启动信号输入端。 ALE:地址锁存允许信号输入端。 (以上两种信号用于启动A/D转换) EOC:转换结束信号输出引脚,开始转换时为低电平,当转换结束时为高电平。 OE:输出允许控制端,用以打开三态数据输出锁存器。

CLK:时钟信号输入端(一般为500KHz)。 A、B、C:地址输入线。 ADC0809对输入模拟量要求:信号单极性,电压范围是0-5V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。 地址输入和控制线:4条 ALE为地址锁存允许输入线,高电平有效。当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进入转换器进行转换。A,B和C为地址输入线,用于选通IN0-IN7上的一路模拟量输入。通道选择表如下表所示。 数字量输出及控制线:11条

基于51单片机的ADC0809c程序代码

#include #define uchar unsigned char #define uint unsigned int sbit st=P3^2; //ST 为转换启动信号。当ST 上跳沿时,所有内部寄存器清零; //下跳沿时,开始进行A/D 转换;在转换期间,ST 应保持低电平 sbit oe=P3^1; // OE 为输出允许信号,用于控制三条输出锁存器向//单片机输出转换得到的数据,OE=1,输出转换得到的数据;OE=0,输出数据线呈高阻状态 sbit eoc=P3^0; //EOC 为转换结束信号。当EOC 为高电平时,表明转 //换结束;否则,表明正在进行A/D 转换 uchar code tab[]={0x03,0x9f,0x25,0x0d,0x99,0x49,0x41,0x1f,0x01,0x09};// 数码管显示段码uchar code td[]={0x00,0x10,0x20,0x30,0x40,0x50,0x60,0x70};// 通道先择数组 uint ad_0809,ad_data1,ad_data2,ad_data3,ad_data0; uchar m,number; uchar x[8];//八通道数据待存数组 void delaynms(uint x);//nms 延时程序 void display();//显示程序 void ad0809();//芯片启动程序 void key();//键扫描程序 void main() { number=1; P1=0x00; while(1) { ad0809();//调AD0809 启动子程序 key();//调按键子程序 ad_0809=x[number];//把相关通道数据给ad_0809 display();//调显示 }} //nms 延时程序 void delaynms(uint x) { uchar i; while(x-->0) { for(i=0;i<125;i++) {;} }} void display() { uchar a; ad_data1=(ad_0809*49/25)/100; // 读得的数据乘以 2 再乘以98%除以100 得百位

基于51单片机和ADC0809数模转换的C程序

#include #include #define uchar unsigned char #define uint unsigned int /********************定义LCD1602接口信息********************************/ sbit lcdrs=P3^0;//数据命令选择位 sbit lcden=P3^1;//使能位 sbit lcdrw=P3^2; /********************定义ADC0808接口信息********************************/ sbit ADA=P2^0; sbit ADB=P2^1; sbit ADC=P2^2; sbit EOC=P2^3; sbit CLK=P2^4; sbit START=P2^5; sbit OE=P2^6; /*****************键盘管脚定义*************/ sbit key1=P3^3; sbit key2=P3^7; /*********************定义数据********************************/ uchar tab1[]={48,46,48,48,48,46,48,48,48,46,48,48,48,46,48,48}; //存放AD采集数据 uchar tab2[]={48,46,48,48,48,46,48,48,48,46,48,48,48,46,48,48}; uchar tab3[]="TONGLU:"; uchar tab4[]="DIANYA:"; uchar tab5[]="12345678"; uchar num,m=0,getdata=0; uint temp=0; /*延时函数*/ void delay(uchar t) { uchar x,y; for(x=t;x>0;x--) for(y=110;y>0;y--); } void delayl(uchar ltime) { uchar i; for(i=ltime;i>0;i--) delay(255); } /*写命令函数*/

ADC0809单通道模拟电压采集电路

微型计算机技术 课程设计 指导教师:程世旭刘元超 学生班级:计科10606班 学生姓名:古莹 学号: 6 班内序号: 4 课设日期:2009年9月14日~2009年9月27日 目录 一.设计目的 二.实验平台和所用器材 三.设计内容 四.设计原理 五.程序流程图 六.调试分析 七.原理图设计 八.程序清单 九.收获、体会和建议 一设计目的

1.通过本设计,使学生综合运用《微型计算机技术》、《汇编语言程序设计》以及电 子技术等课程的内容,为以后从事计算机检测与控制工作奠定一定的基础。 2.主要掌握并行I/O接口芯片8253、8255A、ADC0809及中断控制芯片8259A等 可编程器件的使用,掌握译码器74LS138的使用。 3.学会用汇编语言编写一个较完整的实用程序。 4.掌握微型计算机技术应用开发的全过程:分析需求、设计原理图、选用元器件、 布线、编程、调试、撰写报告等步骤。 二实验平台和所用器材 本实验是在windows XP操作系统上实现的,程序的开发环境是用实验室的课设平台,所用到的器材如下: ①一块实验面包版(内含时钟电路)。 ②可编程芯片8253、8255A 、ADC0809和译码器芯片74LS138、74LS245各 一片。 ③可调电位器4.7KΩ一个、发光二极管8个、74LS06芯片2个。 ④导线若干。 ⑤示波器、万用表、常用工具等。 三设计内容 采用ADC0809设计一个单通道模拟电压采集电路,要求对所接通道的变化的模拟电压值进行采集,采集来的数字量一路送至发光二极管指示,一路送至计算机显示到屏幕上,每行显示5个数据,采集完100个数据后停止采集过程,采集过程中按下ESC 键也可中断采集过程。 首先要求我们定义出选择菜单,给出显示界面。 1. 8255的自检测 这部分要求我们初始化8255,为了能够正确的看出8255已经初始化,当8255初始化后向B口送数据0FH到发光二管上显示,如果能够正确显示就说明8255已经被初始化。并且可以说明74LS245,8255,74LS06能够正常工作,并且他们的连线都正确。 1.8253的自检测 这部分要求我们初始化8253,8253初始化后可以通过示波器观察输出的波形是否满足要求。 3. 进行A/D转换 这部分是本次课设的关键部分,在做此之前,必须保证8255和8253都被正确的初始化,通过8255的C口控制ADC0809对模拟数据的采集,然后从A口读取转换后的数据,一路送发光二极管显示,一路送显示器上显示,模拟量由电位器得到。 2.退出系统 如果用户需要结束程序,从菜单上选择此菜单即可退出程序. 四设计原理 1、使用Protues或Protel设计出正确电路原理图,设计原理如下: ,一端接地,调节电位器得到变化的模拟电压,该电压接至ADC0809的某一通道输入端(如IN ),ADC0809的时钟为500KHz,由8253对面包板上时钟1MHz或2MHz分频 后得到,8253的端口地址:300H~303H。

adc0809的的基本应用实例及程序

ADC0809与DAC0832进行ADDA转换程序使用0809将电压数据采集回来,然后再用DAC0832输出电压。练习AD,DA的使用。 程序:#include #include #define DAC0832 XBYTE[0x7fff] /* 定义DAC0832端口地址*/ #define uchar unsigned char #define uint unsigned int sbit ST=P3^0; sbit OE=P3^1; sbit EOC=P3^2; sbit CLK=P3^3; sbit A1=P3^4; sbit A2=P3^5; sbit A3=P3^7; void delay(unsigned int Delay) //Delay(1000)延时一秒 { unsigned int q; for(;Delay>0;Delay--) { for(q=0;q<124;q++) {;} } } /*void TimeInitial() { TMOD=0x20; TH1=0xff; TL1=0xff; EA=1; ET1=1; TR1=1; }*/ uchar adin0(void) //AD0通道转换 { uchar getdATa; //TimeInitial(); ST=0; OE=0; ST=1; ST=0; A1=0; A2=0; A3=0; while(EOC==0); OE=1;

getdA Ta=P1; OE=0; //TR1=0; return(getdATa); } uchar adin1(void) //AD1通道转换{ uchar getdA Ta; //TimeInitial(); ST=0; OE=0; ST=1; ST=0; A1=1; A2=0; A3=0; while(EOC==0); OE=1; getdA Ta=P0; OE=0; //TR1=0; return(getdATa); } void main(void) { uchar dATa0,i; while(1) { dA Ta0=adin0(); DAC0832=dATa0; } } void time1(void) interrupt 3 using 0 { TH1=0xff; TL1=0xff; CLK=~CLK; }

ADC0809芯片的原理及应用

目录 引言 (1) 1 ADC0809的逻辑结构 (1) 1.1 ADC0809引脚结构 (1) 1.2 ADC0809的主要性能指标 (3) 1.3 ADC0809的内部逻辑结构 (3) 1.4 ADC0809的时序 (4) 2 ADC0809与MCS-51单片机的接口电路 (5) 2.1 0809与51单片机的第一种连接方式 (7) 2.2 0809与51单片机的第二种连接方式 (9) 2.3 0809与51单片机的第三种连接方式 (10) 3 ADC0809与单片机制作的数字电压表 (11) 总结 (16) 参考文献 (16) 英文翻译 (17)

ADC0809芯片的原理及应用 摘要:ADC0809是8位逐次逼近型A/D转换器,是目前应用比较广泛、典型的A/D转换芯片之一。本文主要介绍ADC0809芯片的内部逻辑结构、引脚分布,并详细阐述了其工作原理。在此基础上设计了两种相关应用电路——ADC0809与单片机的接口电路及数字电压表,并对这两种应用电路的可行性进行了讨论。通过对ADC0809应用电路的探究,能更全面的提高对应用系统的分析、设计能力,对实践具有重要的指导意义。 关键词:ADC0809;模数转换;单片机 引言 A/D转换器是模拟信号源与计算机或其它数字系统之间联系的桥梁,它的任务是将连续变化的模拟信号转换为数字信号,以便计算机等数字系统进行处理、存储、控制和显示。在工业控制和数据采集及许多其它领域中,A/D转换器是不可缺少的重要组成部分,它的应用已经相当普遍。目前用软件的方法虽然可以实现高精度的A/D转换,但占用CPU时间长,限制了应用。8位A/D转换器ADC0809作为典型的A/D转换芯片,具有转换速度快、价格低廉及与微型计算机接口简便等一系列优点,目前在8位单片机系统中得到了广泛的应用。 1 ADC0809的逻辑结构 ADC0809是带有8位A/D转换器、8路模拟开关以及微处理机兼容的控制逻辑的CMOS组件。它是逐次逼近式A/D 转换器,是目前应用比较广泛的A/D转 换芯片之一,主要适用于对精度和采样 速率要求不高的场合或一般的工业控 制领域,可以和单片机直接相连。它具 有8个通道的模拟量输入线,可在程序 控制下对任意通道进行A/D转换得到8 位二进制数字量。[1]图1.1 0809引脚图 1.1 ADC0809引脚结构 ADC0809引脚图如图1.1所示。

ADC0809 编程

module ADC0809(clk,clk1,rst,EOC,START,ALE,OE,LOCK_T,din,dout,add,WR,OE1); input clk,rst; input EOC; input [7:0] din; output clk1; output OE,START,ALE; output LOCK_T; output [7:0] dout; output [15:0] add; output WR,OE1; reg [4:0] cs,next_state; reg LOCK; reg [7:0] count; reg OE,START,ALE,WR,OE1; reg [15:0] add; parameter s0=0,s1=1,s2=2,s3=3,s4=4; always @(posedge clk or negedge rst) begin if(!rst) begin cs<=s0; end else begin cs<=next_state; end end always @(cs or EOC) begin case(cs) s0: begin ALE=0; START=0; OE=0; OE1=0; WR=0; LOCK=0; next_state<=s1;

end s1: begin ALE=1; START=1; OE=0; OE1=0; WR=0; LOCK=0; next_state<=s2; end s2: begin ALE=0; START=0; OE=0; OE1=0; WR=0; LOCK=0; if(EOC==1'b0) next_state<=s2; else next_state<=s3; end s3: begin ALE=0; START=0; OE=1; OE1=1; WR=0; LOCK=0; next_state<=s4; end s4: begin ALE=0; START=0; OE=1; OE1=1; WR=1; LOCK=1; next_state<=s0; end

相关主题